Evaluating RISC-V Cores for PULP

Size: px
Start display at page:

Download "Evaluating RISC-V Cores for PULP"

Transcription

1 Evaluating RISC-V Cores for PULP An Open Parallel Ultra-Low-Power Platform 30 June 2015 Sven Stucki Antonio Pullini Michael Gautschi Frank K. Gürkaynak Andrea Marongiu Igor Loi Davide Rossi Prof. Luca Benini

2 Summary Background The group PULP platform Goals Our approach RISC-V on PULP Integrated Systems Laboratory 2

3 The Group of Prof. Luca Benini Approximately 40 people ETH Zürich Integrated Systems Laboratory (IIS) University of Bologna EEES Many involved in PULP Great experience in IC design More than 400 ICs, in-house ASIC tester Close Collaborations POLIMI (compiler support) CEA/LETI EPFL Integrated Systems Laboratory 3

4 Integrated 4 Systems Laboratory 4 Our Goal: Reach 1 GOPS/mW efficiency ops/j 1pJ/op 1GOPS/mW [RuchIBM11]

5 Energy Proportionality log(mw) 0,003GOPS/mW 30KW 0,03GOPS/mW 1GOPS/mW log(gops) Integrated Systems Laboratory 5

6 PULP An open research platform Goals: Reach 1 GOPS/mW efficiency Scalable hardware: Achieve energy proportionality Research on: Efficient cores, platform innovations Technology options Software support Integrated Systems Laboratory 6

7 Our Approach: PULP Exploit parallelism Multiple small cores organized in a cluster Share memory within the cluster Simple but efficient processor cores Currently: OpenRISC with ISA extensions RISC-V Minion core in the work Hardware optimizations Near-threshold operation Dedicated accelerators Integrated Systems Laboratory 7

8 PULP Family of Chips Silicon proven in 28nm Several tape-outs in different technologies 180nm (IcySoC project, approximate computing) 130nm (Mixed-signal PULP: Vivo-SOC) 65nm (Student projects, demonstrators) 28nm (Flagship designs, technology options) Timeline: Integrated Systems Laboratory 8

9 RISC-V on PULP Replace OpenRISC with RISC-V core Motivation More active community Compressed instruction set Current status: Simple 4-stage (IF, ID, EX, WB) design Support for RV32IC mul instruction from M extension UMC65: 22 kge for t pd = 1.08V Privileged features: M-mode, Mbare memory Integrated Systems Laboratory 9

10 RVC: Big impact on code size Integrated Systems Laboratory 10

11 PULP Architecture Integrated Systems Laboratory 12

12 Tightly Coupled Data Memory (TCDM) Cluster-local data storage, explicitly managed Single-cycle access without contention Integrated Systems Laboratory 13

13 Instruction Cache Exploit parallelism: shared between cores Integrated Systems Laboratory 14

14 System on Chip Frequency-locked loop (FLL) Memory, (I/O) Peripherals, ROM, Integrated Systems Laboratory 15

15 Improved OpenRISC: OR10N Core OpenRISC core developed at IIS ISA extensions to improve efficiency Hardware Loops Pre-/Postincrement memory access Vectorial (packed SIMD) instructions Custom llvm compiler No changes to C code needed to use new instructions Debugging support Integrated Systems Laboratory 16

16 RISC-V on PULP: Future Work Tapeout in 4Q2015 GlobalFoundries 28nm Evaluate OR10N extensions for RV core Hardware loops: Smaller impact on RV Vectorial instructions We are open for suggestions / collaborations Integrated Systems Laboratory 17

17 QUESTIONS? Integrated Systems Laboratory 18

18 OR10N Extensions Performance Gain Integrated Systems Laboratory 19

19 OR10N Extensions Power Improvement Integrated Systems Laboratory 20

20 Near Threshold Operation More Efficient Higher energy efficiency Sub- Near- Super-Threshold Actual PULP Measurement Results Integrated Systems Laboratory 21

21 performance scalable by ~300x PULP v2: Best in Class power scalable by ~2000x PULP many operating points provided by VBB and VDD knobs 211 GOPS/W near-threshold MCUs commercial low-power MCUs multicore ULP platforms commercial ARM processors sub-threshold MCUs Integrated Systems Laboratory 22

22 performance scalable by ~300x PULP v2: Best in Class power scalable by ~2000x PULP near-threshold MCUs 211 GOPS/W multicore ULP platforms commercial ARM processors commercial low-power MCUs sub-threshold MCUs Integrated Systems Laboratory 23

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library

Research Collection. KISS PULPino - Updates on PULPino updates on PULPino. Other Conference Item. ETH Library Research Collection Other Conference Item KISS PULPino - Updates on PULPino updates on PULPino Author(s): Pullini, Antonio; Gautschi, Michael; Gürkaynak, Frank Kagan; Glaser, Florian; Mach, Stefan; Rovere,

More information

Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters

Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters Accuracy and Performance Trade-offs of Logarithmic Number Units in Multi-Core Clusters ARITH 2016 Silicon Valley July 10-13, 2016 Michael Schaffner 1 Michael Gautschi 1 Frank K. Gürkaynak 1 Prof. Luca

More information

PULP: A Parallel Ultra Low Power platform for next generation IoT Applications

PULP: A Parallel Ultra Low Power platform for next generation IoT Applications PULP: A Parallel Ultra Low Power platform for next generation IoT Applications Davide Rossi 1 Francesco Conti 1, Andrea Marongiu 1,2, Antonio Pullini 2, Igor Loi 1, Michael Gautschi 2, Giuseppe Tagliavini

More information

DSP ISA Extensions for an Open-Source RISC-V Implementation

DSP ISA Extensions for an Open-Source RISC-V Implementation DSP ISA Extensions for an Open-Source RISC-V Implementation Davide Schiavone Davide Rossi Michael Gautschi Eric Flamand Andreas Traber Luca Benini Integrated Systems Laboratory Introduction: a typical

More information

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO

PULP: an open source hardware-software platform for near-sensor analytics. Luca Benini IIS-ETHZ & DEI-UNIBO PULP: an open source hardware-software platform for near-sensor analytics Luca Benini IIS-ETHZ & DEI-UNIBO An IoT System View Sense MEMS IMU MEMS Microphone ULP Imager Analyze µcontroller L2 Memory e.g.

More information

The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications

The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications The PULP Cores: A Set of Open-Source Ultra-Low- Power RISC-V Cores for Internet-of-Things Applications 29.11.2017 Pasquale Davide Schiavone, Florian Zaruba Davide Rossi, Igor Loi, Antonio Pullini, Francesco

More information

Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements

Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements Ariane: An open-source 64-bit RISC-V Application- Class Processor and latest Improvements 9 May 2018 Florian Zaruba Professor Luca Benini Integrated Systems Laboratory ETH Zürich RISC-V cores under development

More information

Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms

Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms Accelerating Function Kernels for Elliptic Curve Operations and Mobile Communication Algorithms Tensilica Day, Hannover Michael Gautschi Prof. Luca Benini Our group: Prof. Luca Benini ETH Zurich, Integrated

More information

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators

A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators A framework for optimizing OpenVX Applications on Embedded Many Core Accelerators Giuseppe Tagliavini, DEI University of Bologna Germain Haugou, IIS ETHZ Andrea Marongiu, DEI University of Bologna & IIS

More information

Exercise 6: PULP Programming

Exercise 6: PULP Programming Exercise 6: PULP Programming Introduction to the PULP Computing Platform 24.05.2016 Antonio Pullini Michael Gautschi Davide Schiavone Integrated Systems Laboratory How efficient do we need to be? Integrated

More information

Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP

Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP Architetture di Calcolo Ultra-Low-Power per Internet of Things: La piattaforma PULP 31.05.2018 Davide Rossi davide.rossi@unibo.it 1 Department of Electrical, Electronic and Information Engineering 2 Integrated

More information

PULP Project Update. ORCONF 2018, Gdansk, Poland Davide Rossi 1,

PULP Project Update. ORCONF 2018, Gdansk, Poland Davide Rossi 1, PULP Project Update ORCONF 2018, Gdansk, Poland. 21.09.2018 Davide Rossi 1, davide.rossi@unibo.it Antonio Pullini 2, Davide Schiavone 2, Francesco Conti 1, Florian Gasler 1, Florian Zaruba 2, Stefan Mach

More information

Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster

Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster Cool Chips Energy-Efficient Near-Threshold Parallel Computing: The PULPv2 Cluster Davide Rossi University of Bologna Antonio Pullini ETH Zurich Igor Loi University of Bologna Michael Gautschi, Frank Kağan

More information

The lowrisc project Alex Bradbury

The lowrisc project Alex Bradbury The lowrisc project Alex Bradbury lowrisc C.I.C. 3 rd April 2017 lowrisc We are producing an open source Linux capable System-on-a- Chip (SoC) 64-bit multicore Aim to be the Linux of the Hardware world

More information

Jack Kang ( 剛至堅 ) VP Product June 2018

Jack Kang ( 剛至堅 ) VP Product June 2018 Jack Kang ( 剛至堅 ) VP Product June 2018 SiFive RISC-V Core IP Product Offering SiFive RISC-V Core IP Industry leading 32-bit and 64-bit Embedded Cores High performance 64-bit Application Cores High Performance

More information

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES Gregg Bartlett Senior Vice President, CMOS Business Unit RISC-V: Driving New Architectures and Multi-core Systems GF Enabling

More information

SIR10US: A Tightly Coupled Elliptic-Curve Cryptography Co-Processor for the OpenRISC

SIR10US: A Tightly Coupled Elliptic-Curve Cryptography Co-Processor for the OpenRISC SIR10US: A Tightly Coupled Elliptic-Curve Cryptography Co-Processor for the OpenRISC Michael Gautschi, Michael Muehlberghuber, Andreas Traber, Sven Stucki, Matthias Baer, Renzo Andri, Luca Benini Integrated

More information

RISC-V Core IP Products

RISC-V Core IP Products RISC-V Core IP Products An Introduction to SiFive RISC-V Core IP Drew Barbier September 2017 drew@sifive.com SiFive RISC-V Core IP Products This presentation is targeted at embedded designers who want

More information

An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics

An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics 1 An IoT Endpoint System-on-Chip for Secure and Energy-Efficient Near-Sensor Analytics Francesco Conti, Robert Schilling, Pasquale D. Schiavone, Antonio Pullini, Davide Rossi, Frank K. Gürkaynak, Michael

More information

Exercise: OpenRISC Programming

Exercise: OpenRISC Programming Exercise: OpenRISC Programming Increasing efficiency of the OpenRISC core with simple instruction extensions 23.03.2015 Michael Gautschi Antonio Pullini Introduction All exercises will be performed on

More information

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS Who am I? Education Master of Technology, NTNU, 2007 PhD, NTNU, 2010. Title: «Managing Shared Resources in Chip Multiprocessor Memory

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor

Efficient Systems. Micrel lab, DEIS, University of Bologna. Advisor Row-based Design Methodologies To Compensate Variability For Energy- Efficient Systems Micrel lab, DEIS, University of Bologna Mohammad Reza Kakoee PhD Student m.kakoee@unibo.it it Luca Benini Advisor

More information

Parallel digital signal processing in a mw power envelope: how and why? Multithermand AdG Multiscale Thermal Management of Computing Systems

Parallel digital signal processing in a mw power envelope: how and why? Multithermand AdG Multiscale Thermal Management of Computing Systems Parallel digital signal processing in a mw power envelope: how and why? Multithermand AdG Multiscale Thermal Management of Computing Systems Luca Benini DEI-UNIBO & IIS-ETHZ IOT or Data Deluge? Highly

More information

Flexible, Scalable and Energy Efficient Bio-Signals Processing on the PULP Platform: A Case Study on Seizure Detection

Flexible, Scalable and Energy Efficient Bio-Signals Processing on the PULP Platform: A Case Study on Seizure Detection Journal of Low Power Electronics and Applications Article Flexible, Scalable and Energy Efficient Bio-Signals Processing on the PULP Platform: A Case Study on Seizure Detection Fabio Montagna *, Simone

More information

Parallel Ultra Low-Power Processing (PULP) Systems

Parallel Ultra Low-Power Processing (PULP) Systems Parallel Ultra Low-Power Processing (PULP) Systems OPRECOMP SUMMER SCHOOL 19.07.2018 Davide Rossi Frank K. Gürkaynak davide.rossi@unibo.it 1 Department of Electrical, Electronic and Information Engineering

More information

CMP Conference 20 th January Director of Business Development EMEA

CMP Conference 20 th January Director of Business Development EMEA CMP Conference 20 th January 2011 eric.lalardie@arm.com Director of Business Development EMEA +33 6 07 83 09 60 1 1 Unparalleled Applicability ARM Cortex Advanced Processors Architectural innovation, compatibility

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

So you think developing an SoC needs to be complex or expensive? Think again

So you think developing an SoC needs to be complex or expensive? Think again So you think developing an SoC needs to be complex or expensive? Think again Phil Burr Senior product marketing manager CPU Group NMI - Silicon to Systems: Easy Access ASIC 23 November 2016 Innovation

More information

ARM Ltd. ! Founded in November 1990! Spun out of Acorn Computers

ARM Ltd. ! Founded in November 1990! Spun out of Acorn Computers ARM Architecture ARM Ltd! Founded in November 1990! Spun out of Acorn Computers! Designs the ARM range of RISC processor cores! Licenses ARM core designs to semiconductor partners who fabricate and sell

More information

Two routes to specialisation: Loki and lowrisc. Robert Mullins, University of Cambridge WEEE September 2015 Espoo, Finland

Two routes to specialisation: Loki and lowrisc. Robert Mullins, University of Cambridge WEEE September 2015 Espoo, Finland Two routes to specialisation: Loki and lowrisc Robert Mullins, University of Cambridge WEEE 10-12 September 2015 Espoo, Finland Specialisation More transistors but end of Dennard scaling Dark silicon,

More information

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015 Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015 Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2 Today Ultra-mobile & Connected Consumer At Any Time With

More information

zero-riscy: User Manual

zero-riscy: User Manual zero-riscy: User Manual June 2017 Revision 0.1 Pasquale Davide Schiavone (pschiavo@iis.ee.ethz.ch) Micrel Lab and Multitherman Lab University of Bologna, Italy Integrated Systems Lab ETH Zürich, Switzerland

More information

Scaling-up Edge Computing with PULP A many-core Platform for Micropower in-sensor Analytics Southampton

Scaling-up Edge Computing with PULP A many-core Platform for Micropower in-sensor Analytics Southampton Scaling-up Edge Computing with PULP A many-core Platform for Micropower in-sensor Analytics Southampton 19.01.2018 Davide Rossi 1, Antonio Pullini 2, Igor Loi 1, Davide Schiavone 2, Francesco Conti 1,

More information

arxiv: v1 [eess.sp] 24 Apr 2018

arxiv: v1 [eess.sp] 24 Apr 2018 PULP-HD: Accelerating Brain-Inspired High-Dimensional Computing on a Parallel Ultra-Low Power Platform Fabio Montagna, Abbas Rahimi, Simone Benatti, Davide Rossi, and Luca Benini arxiv:1804.09123v1 [eess.sp]

More information

Chapter 5. Introduction ARM Cortex series

Chapter 5. Introduction ARM Cortex series Chapter 5 Introduction ARM Cortex series 5.1 ARM Cortex series variants 5.2 ARM Cortex A series 5.3 ARM Cortex R series 5.4 ARM Cortex M series 5.5 Comparison of Cortex M series with 8/16 bit MCUs 51 5.1

More information

Smart Ultra-Low Power Visual Sensing

Smart Ultra-Low Power Visual Sensing Smart Ultra-Low Power Visual Sensing Manuele Rusci*, Francesco Conti * manuele.rusci@unibo.it f.conti@unibo.it Energy-Efficient Embedded Systems Laboratory Dipartimento di Ingegneria dell Energia Elettrica

More information

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart Formal for Everyone Challenges in Achievable Multicore Design and Verification FMCAD 25 Oct 2012 Daryl Stewart 1 ARM is an IP company ARM licenses technology to a network of more than 1000 partner companies

More information

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 8. Hardware Components Lothar Thiele Computer Engineering and Networks Laboratory Do you Remember? 8 2 8 3 High Level Physical View 8 4 High Level Physical View 8 5 Implementation Alternatives

More information

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics Veloce2 the Enterprise Verification Platform Simon Chen Emulation Business Development Director Mentor Graphics Agenda Emulation Use Modes Veloce Overview ARM case study Conclusion 2 Veloce Emulation Use

More information

Introduction to RISC-V

Introduction to RISC-V Introduction to RISC-V Jielun Tan, James Connolly February, 2019 Overview What is RISC-V Why RISC-V ISA overview Software environment Beta testing What is RISC-V RISC-V (pronounced risk-five ) is an open,

More information

Fundamentals of Computers Design

Fundamentals of Computers Design Computer Architecture J. Daniel Garcia Computer Architecture Group. Universidad Carlos III de Madrid Last update: September 8, 2014 Computer Architecture ARCOS Group. 1/45 Introduction 1 Introduction 2

More information

Fundamentals of Computer Design

Fundamentals of Computer Design Fundamentals of Computer Design Computer Architecture J. Daniel García Sánchez (coordinator) David Expósito Singh Francisco Javier García Blas ARCOS Group Computer Science and Engineering Department University

More information

Post-K Supercomputer Overview. Copyright 2016 FUJITSU LIMITED

Post-K Supercomputer Overview. Copyright 2016 FUJITSU LIMITED Post-K Supercomputer Overview 1 Post-K supercomputer overview Developing Post-K as the successor to the K computer with RIKEN Developing HPC-optimized high performance CPU and system software Selected

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

PGT302 Embedded Software Technology. PGT302 Embedded Software Technology

PGT302 Embedded Software Technology. PGT302 Embedded Software Technology PGT302 Embedded Software Technology 1 PART 1 Introduction to the Embedded World 2 Objectives for Part 1 Need to DESCRIBE and DISCUSS the following topics: Embedded systems Embedded software Embedded hardware

More information

Agile Hardware Design: Building Chips with Small Teams

Agile Hardware Design: Building Chips with Small Teams 2017 SiFive. All Rights Reserved. Agile Hardware Design: Building Chips with Small Teams Yunsup Lee ASPIRE Graduate 2016 Co-Founder and CTO 2 2017 SiFive. All Rights Reserved. World s First Single-Chip

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 22 Title: and Extended

More information

A near-threshold RISC-V core with DSP extensions for scalable IoT Endpoint Devices

A near-threshold RISC-V core with DSP extensions for scalable IoT Endpoint Devices ARXIV PREPRINT 1 A nearthreshold RISCV core with DSP extensions for scalable IoT Endpoint Devices Michael Gautschi, Student Member, IEEE, Pasquale Davide Schiavone, Student Member, IEEE, Andreas Traber,

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Innovative and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs Introduction The insatiable demand for bandwidth to support applications such as video streaming and cloud

More information

Transprecision Computing

Transprecision Computing Transprecision Computing Dionysios Speaker Diamantopoulos name, Title Company/Organization Name IBM Research - Zurich Join the Conversation #OpenPOWERSummit A look into the next 15 years -8x Source: The

More information

Hardware-Software Design of Embedded Systems

Hardware-Software Design of Embedded Systems Universität Dortmund Hardware-Software Design of Embedded Systems Credits: Marwedel 2013, Wolf 2008 Luca Benini DEIS Università di Bologna AA 2017-2018 Universität Dortmund Motivation for Course Electronics

More information

Introducing the Latest SiFive RISC-V Core IP Series

Introducing the Latest SiFive RISC-V Core IP Series Introducing the Latest SiFive RISC-V Core IP Series Drew Barbier DAC, June 2018 1 SiFive RISC-V Core IP Product Offering SiFive RISC-V Core IP Industry leading 32-bit and 64-bit Embedded Cores High performance

More information

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection

An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection An Evaluation of an Energy Efficient Many-Core SoC with Parallelized Face Detection Hiroyuki Usui, Jun Tanabe, Toru Sano, Hui Xu, and Takashi Miyamori Toshiba Corporation, Kawasaki, Japan Copyright 2013,

More information

Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases. Steve Steele, ARM

Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases. Steve Steele, ARM Unleashing the benefits of GPU Computing with ARM Mali TM Practical applications and use-cases Steve Steele, ARM 1 Today s Computational Challenges Trends Growing display sizes and resolutions, richer

More information

DesignWare IP for IoT SoC Designs

DesignWare IP for IoT SoC Designs DesignWare IP for IoT SoC Designs The Internet of Things (IoT) is connecting billions of intelligent things at our fingertips. The ability to sense countless amounts of information that communicates to

More information

MIT Laboratory for Computer Science

MIT Laboratory for Computer Science The Raw Processor A Scalable 32 bit Fabric for General Purpose and Embedded Computing Michael Taylor, Jason Kim, Jason Miller, Fae Ghodrat, Ben Greenwald, Paul Johnson,Walter Lee, Albert Ma, Nathan Shnidman,

More information

Industrial-Strength High-Performance RISC-V Processors for Energy-Efficient Computing

Industrial-Strength High-Performance RISC-V Processors for Energy-Efficient Computing Industrial-Strength High-Performance RISC-V Processors for Energy-Efficient Computing Dave Ditzel dave@esperanto.ai President and CEO Esperanto Technologies, Inc. 7 th RISC-V Workshop November 28, 2017

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips on introducing a new design paradigm HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips D. Diamantopoulos, K. Siozios, E. Sotiriou-Xanthopoulos, G. Economakos and D. Soudris

More information

A New Era of Silicon Prototyping in Computer Architecture Research

A New Era of Silicon Prototyping in Computer Architecture Research A New Era of Silicon Prototyping in Computer Architecture Research Christopher Torng Computer Systems Laboratory School of Electrical and Computer Engineering Cornell University Recent History of Prototypes

More information

Microprocessors, Lecture 1: Introduction to Microprocessors

Microprocessors, Lecture 1: Introduction to Microprocessors Microprocessors, Lecture 1: Introduction to Microprocessors Computing Systems General-purpose standalone systems (سيستم ھای نھفته ( systems Embedded 2 General-purpose standalone systems Stand-alone computer

More information

ARM Processors for Embedded Applications

ARM Processors for Embedded Applications ARM Processors for Embedded Applications Roadmap for ARM Processors ARM Architecture Basics ARM Families AMBA Architecture 1 Current ARM Core Families ARM7: Hard cores and Soft cores Cache with MPU or

More information

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013 A Closer Look at the Epiphany IV 28nm 64 core Coprocessor Andreas Olofsson PEGPUM 2013 1 Adapteva Achieves 3 World Firsts 1. First processor company to reach 50 GFLOPS/W 3. First semiconductor company

More information

Early Software Development Through Emulation for a Complex SoC

Early Software Development Through Emulation for a Complex SoC Early Software Development Through Emulation for a Complex SoC FTF-NET-F0204 Raghav U. Nayak Senior Validation Engineer A P R. 2 0 1 4 TM External Use Session Objectives After completing this session you

More information

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline

EECS150 - Digital Design Lecture 13 - Accelerators. Recap and Outline EECS150 - Digital Design Lecture 13 - Accelerators Oct. 10, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Power Instruction Set Architecture Version 2 06

Power Instruction Set Architecture Version 2 06 Power Instruction Set Architecture Version 2 06 Power ISA V 2.07B. Published: April 9 Collaborative innovation for Power Architecture - @Powerorg t.co/tyrzyesfha, Oct 21. About Mission. Basic Architecture,

More information

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays

Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Runtime Adaptation of Application Execution under Thermal and Power Constraints in Massively Parallel Processor Arrays Éricles Sousa 1, Frank Hannig 1, Jürgen Teich 1, Qingqing Chen 2, and Ulf Schlichtmann

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 10 Task Partitioning Sources: Prof. Margarida Jacome, UT Austin Prof. Lothar Thiele, ETH Zürich Andreas Gerstlauer Electrical and Computer Engineering University

More information

Parallel Simulation Accelerates Embedded Software Development, Debug and Test

Parallel Simulation Accelerates Embedded Software Development, Debug and Test Parallel Simulation Accelerates Embedded Software Development, Debug and Test Larry Lapides Imperas Software Ltd. larryl@imperas.com Page 1 Modern SoCs Have Many Concurrent Processing Elements SMP cores

More information

«Real Time Embedded systems» Multi Masters Systems

«Real Time Embedded systems» Multi Masters Systems «Real Time Embedded systems» Multi Masters Systems rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours rene.beuchat@hesge.ch LSN/hepia Prof. HES 1 Multi Master on Chip On a System On Chip, Master can

More information

Doing Nothing Well * Aka: Wake-up Receivers to the Rescue. Jan M. Rabaey, University of California at Berkeley VLSI Symposium June 17, 2009

Doing Nothing Well * Aka: Wake-up Receivers to the Rescue. Jan M. Rabaey, University of California at Berkeley VLSI Symposium June 17, 2009 Doing Nothing Well * Aka: Wake-up Receivers to the Rescue [* Original quote by David Culler, UCB] Jan M. Rabaey, University of California at Berkeley VLSI Symposium June 17, 2009 Outline Major Focus on

More information

Thermal Modeling and Active Cooling

Thermal Modeling and Active Cooling Thermal Modeling and Active Cooling for 3D MPSoCs Prof. David Atienza, Embedded Systems Laboratory (ESL), EE Institute, Faculty of Engineering MPSoC 09, 2-7 August 2009 (Savannah, Georgia, USA) Thermal-Reliability

More information

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning By: Roman Lysecky and Frank Vahid Presented By: Anton Kiriwas Disclaimer This specific

More information

Easy Multicore Programming using MAPS

Easy Multicore Programming using MAPS Easy Multicore Programming using MAPS Jeronimo Castrillon, Maximilian Odendahl Multicore Challenge Conference 2012 September 24 th, 2012 Institute for Communication Technologies and Embedded Systems Outline

More information

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World I N V E N T I V E Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World Frank Schirrmeister, Steve Brown, Larry Melling (Cadence) Dave Beal (Xilinx) Agenda Virtual Platforms Xilinx

More information

CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories

CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled Shared and Local Data Memories IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, POST-PRINT, DECEMBER 2017 1 CoreVA-MPSoC: A Many-core Architecture with Tightly Coupled and Local Data Memories Johannes Ax, Gregor Sievers, Julian

More information

Ten Reasons to Optimize a Processor

Ten Reasons to Optimize a Processor By Neil Robinson SoC designs today require application-specific logic that meets exacting design requirements, yet is flexible enough to adjust to evolving industry standards. Optimizing your processor

More information

Design methodology for multi processor systems design on regular platforms

Design methodology for multi processor systems design on regular platforms Design methodology for multi processor systems design on regular platforms Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline

More information

RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software

RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software How to Address RISC-V Compliance in the Era of OPEN ISA and Custom Instructions RISC-V Summit, December 2018 Simon Davidmann, Lee Moore 2018, Imperas Software Agenda RISC-V Compliance Compliance for RISC-V

More information

A Perspective on the Role of Open-Source IP In Government Electronic Systems

A Perspective on the Role of Open-Source IP In Government Electronic Systems A Perspective on the Role of Open-Source IP In Government Electronic Systems Linton G. Salmon Program Manager DARPA/MTO RISC-V Workshop November 29, 2017 Distribution Statement A (Approved for Public Release,

More information

Growth outside Cell Phone Applications

Growth outside Cell Phone Applications ARM Introduction Growth outside Cell Phone Applications ~1B units shipped into non-mobile applications Embedded segment now accounts for 13% of ARM shipments Automotive, microcontroller and smartcards

More information

STM32MP1 Microprocessor Continuing the STM32 Success Story. Press Presentation

STM32MP1 Microprocessor Continuing the STM32 Success Story. Press Presentation STM32MP1 Microprocessor Continuing the STM32 Success Story Press Presentation What Happens when STM32 meets Linux? 2 + = Linux The STM32MP1 Microprocessor Happens! 3 Available NOW! Extending STM32 success

More information

CMP Conference 25 th January 2012 Research - Education. Director of Business Development EMEAI

CMP Conference 25 th January 2012 Research - Education. Director of Business Development EMEAI CMP Conference 25 th January 2012 Research - Education eric.lalardie@arm.com Director of Business Development EMEAI +33 6 07 83 09 60 1 1 Recent ARM Powered Products (Q3 11) Internet Connected Screens

More information

FUNCTIONAL SAFETY FOR INDUSTRIAL AUTOMATION

FUNCTIONAL SAFETY FOR INDUSTRIAL AUTOMATION FUNCTIONAL SAFETY FOR INDUSTRIAL AUTOMATION 2017.11 The term Functional Safety has become a topic of great interest. Functional Safety generally means that malfunctions of the operating systems or applications

More information

Hardware Software Codesign of Embedded Systems

Hardware Software Codesign of Embedded Systems Hardware Software Codesign of Embedded Systems Rabi Mahapatra Texas A&M University Today s topics Course Organization Introduction to HS-CODES Codesign Motivation Some Issues on Codesign of Embedded System

More information

Multicycle-Path Challenges in Multi-Synchronous Systems

Multicycle-Path Challenges in Multi-Synchronous Systems Multicycle-Path Challenges in Multi-Synchronous Systems G. Engel 1, J. Ziebold 1, J. Cox 2, T. Chaney 2, M. Burke 2, and Mike Gulotta 3 1 Department of Electrical and Computer Engineering, IC Design Research

More information

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM

ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series. Ian Johnson Senior Product Manager, ARM ARM Cortex -M7: Bringing High Performance to the Cortex-M Processor Series Ian Johnson Senior Product Manager, ARM 1 ARM Cortex Processors across the Embedded Market Cortex -M processors Cortex -R processors

More information

ECE 5745 Complex Digital ASIC Design Course Overview

ECE 5745 Complex Digital ASIC Design Course Overview ECE 5745 Complex Digital ASIC Design Course Overview Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745 Application Algorithm

More information

New ARMv8-R technology for real-time control in safetyrelated

New ARMv8-R technology for real-time control in safetyrelated New ARMv8-R technology for real-time control in safetyrelated applications James Scobie Product manager ARM Technical Symposium China: Automotive, Industrial & Functional Safety October 31 st 2016 November

More information

Factors which influence in many core processors

Factors which influence in many core processors Factors which influence in many core processors ABSTRACT: The applications for multicore and manycore microprocessors as RISC-V are currently useful for the advantages of their friendly nature, compared

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers. The Case for Developing Custom Analog Custom analog SoCs - real option for more product managers. White Paper The contents of this document are owned or controlled by S3 Group and are protected under applicable

More information

Lecture 12. Motivation. Designing for Low Power: Approaches. Architectures for Low Power: Transmeta s Crusoe Processor

Lecture 12. Motivation. Designing for Low Power: Approaches. Architectures for Low Power: Transmeta s Crusoe Processor Lecture 12 Architectures for Low Power: Transmeta s Crusoe Processor Motivation Exponential performance increase at a low cost However, for some application areas low power consumption is more important

More information

Flexible architecture to add Bluetooth 5 and to your next SoC

Flexible architecture to add Bluetooth 5 and to your next SoC Flexible architecture to add Bluetooth 5 and 802.15.4 to your next SoC Prithi Ramakrishnan Senior Manager Product Marketing, Wireless Business Unit, ARM ARM Tech Symposia India December 7 th 2016 Faster

More information

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor Volume 2 Issue 1 March 2014 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org New Approach for Affine Combination of A New Architecture

More information