Success Oriented Ground and Space Software Defined Architectures

Size: px
Start display at page:

Download "Success Oriented Ground and Space Software Defined Architectures"

Transcription

1 Success Oriented Ground and Space Software Defined Architectures 30 March 2004 Jerry Brand, Vince Kovarik and James Faist Melbourne, Florida Presented at the GSAW 2004 Manhattan Beach, CA GSAW 2004 (Slide 1/17)

2 Introduction Software Defined Radio (SDR) Architectures Background JTRS/SCA Terminology SCA Software Structure Example Ground SDR Example Flight SDR Successful Architecture Attributes Conclusions GSAW 2004 (Slide 2/17)

3 JTRS/SCA Terminology Joint Tactical Radio System (JTRS): A radio system within the 2MHz to 2Ghz (tactical) frequency range and has the SCA infrastructure (see below). Software Communication Architecture (SCA): The underlying infrastructure specification that must be implemented. Core Framework (CF): The realization of the SCA specification that provides the radio infrastructure services specified in the SCA. SCA-Compliant: A radio that has a Core Framework that adheres to the SCA is SCA-Compliant. SCA 2.2 Defines 2Mhz-2GHz JTRS Radio Core Framework >2GHz SATCOM Radio Core Framework Both radios are SCA-Compliant GSAW 2004 (Slide 3/17)

4 SDR Abstraction Dynamic (re)configurability Components Waveforms Processing paths Plug-n-Play components Reuse of common implementations The Framework or Infrastructure provides the intelligent abstraction away from the physical implementation The Framework provides the foundational technology for realizing a cognitive radio. Abstraction Waveform Implementation Paths Waveform Specification C/C++ VHDL Framework/Infrastructure Operating System GPP DSP FPGA ASIC Host Platform Bandwidth GSAW 2004 (Slide 4/17)

5 SCA Software Structure OPERATING ENVIRONMENT (OE) Applications Core Framework (CF) Commercial Off-the-Shelf (COTS) RF Non-CORBA Modem Components Physical API Non-CORBA Security Components Non-CORBA I/O Components Modem Modem Components Adapter Link, Network Components Security Adapter Security Components Security Adapter Link, Network Components I/O I/O Adapter Components MAC API LLC/Network API LLC/Network API I/O API Logical Software Bus (CORBA) Logical Software Bus (CORBA) Security API CORBA ORB & Services (Middleware) Operating System Black Hardware Bus CF Services & Applications Network Stacks & Serial Interface Services Board Support Package (Bus Layer) CORBA ORB & Services (Middleware) Operating System CF Services & Applications Network Stacks & Serial Interface Services Board Support Package (Bus Layer) Red Hardware Bus GSAW 2004 (Slide 5/17)

6 RTOS and Core Framework Real-Time Operating System (RTOS) Must Support the SCA Application Environment Profile (AEP) The SCA AEP is a subset of the POSIX.13 Real-time Controller System Profile (PSE52) Can be fully POSIX Profile 52 (or greater) compliant Applications shall be limited to using the RTOS services that are designated as mandatory in the SCA AEP Application's Resources, CF Base Application CORBA ORB Core Framework (Framework Control and Services Interfaces) Non-CORBA components, device drivers, etc. The Core Framework implements a essential functionality of the SCA OS (function) that supports the SCA OS access unlimited Application uses CF for file access and services OS access limited to SCA AEP CORBA API Logical device adapter GSAW 2004 (Slide 6/17)

7 SCA Concept Hierarchy Applications Components Resources Devices Domain Manager Application The toplevel entity used by the radio operator, i.e. waveform. Components Software units that provide specific functionality either directly or through underlying hardware. Resources An abstraction of the type, capacity, and state of a logical entity. Devices The collection of physical elements comprising the radio system. GSAW 2004 (Slide 7/17)

8 Various Typical SCA Compliant Operating Systems and Platforms SCA 1.1 VxWorks / Pentium / ORBexpress GT VxWorks / PowerPC / ORBexpress GT VxWorks / StrongARM / ORBexpress RT 2.3.1A-β LynxOS / PowerPC / ORBexpress GT 2.1.4B-β SCA 2.2 Windows / Pentium / ORBexpress RT Windows / Pentium / ACE-TAO VxWorks / PowerPC / ACE-TAO Linux / Intel / ACE-TAO VxWorks / PowerPC / ACE-TAO (OCI) Windows / Intel / ACE-TAO (OCI) GSAW 2004 (Slide 8/17)

9 Domain Management Constraint Engine Domain Modeler XML Domain Profile Run-Time Monitor Run-Time Monitor COM/CORBA Bridge Domain Modeler XML Run-Time Monitor Development Environment Run-Time Environment dmtk Core Framework CORBA Infrastructure Chassis Framework Services (Log, Event, and Name) Waveform Application Application Factory Domain Manager Constraint Engine XML Processor Domain Knowledge Device Manager(s) Device Device Device Device Modem Modem SBC rcv xmit RF Amplifiers GSAW 2004 (Slide 9/17)

10 Software Definable Features for Space and Ground Architectures Modulation Modes FSK, MSK, QPSK, OQPSK, SQPSK, SOQPSK, DPSK, SFDPSK, GMSK IF Interfaces 70 MHz, 140 MHz, 700 MHz, L-Band 950 to 2050 MHz Data Rates bits/second to 1 Gbit/sec basecard Multiple Systems Supported Forward Error Correction Coding Turbo (Rate 2/3, 3/4) K=7 Convolutional (Rate 1/2) Reed Solomon Turbo (other rates) Other Specialized Functions Bit Count Integrity Mux/Demux for In-Band Control Data Scrambling Differential Encoding Interleaving/ De-interleaving C/X/Ku/ Phase ambiguity resolution Ka/EHF Interfaces Control and Status Local and Remote Operator Ethernet, RS-232, RS422, RS485 Block Conversion IF Interface Custom IF Mezzanines Signal Processing Programmable Modem Basecard Sea of Gates, Signal Processor Baseband Processing Custom Baseband Mezzanines Algorithms Algorithms are are loaded loaded and and executed executed in in programmable programmable devices devices Processor Processor basecard basecard supports supports a a modulator/ modulator/ demodulator demodulator application application Transmit Transmit or or receive receive IF IF modules modules at at different different frequencies frequencies mount mount on on the the CCA CCA Customized Customized mezzanine mezzanine I/O I/O modules modules provides provides maximum maximum flexibility flexibility GSAW 2004 (Slide 10/17)

11 SCA Compliant Programmable Modem Meets SDR Needs SCA Device and Device Manager interfaces capabilities include: Device Manager interface for the board SCA File System interface for on-board flash Logical Device interface for each FPGA and the DSP Demonstrated SCA and SDR Programmability Capabilities Legacy compatibility Control of external components (video for example) Shutdown of TCDL waveform, load, and start of CDL waveform accomplished in under 10 seconds GSAW 2004 (Slide 11/17)

12 Typical Ground SDR Base Card Structure FPGA-3 FPGA-2 FPGA-1 Signal Processing M e z z 1 Memory Mezzanine 2 DSP Programmable Interface Control FPGA RAM Control Global Memory Power Module Mezzanine 3 Backplane Connection 2 Backplane Connection 1 GSAW 2004 (Slide 12/17)

13 Modem SCA Architecture SCA Interface PM SCA Implementation PM Physical Hardware Manage onboard flash memory as an SCA File System. Allows external access into the flash by 3 rd party software. FileSystem DeviceManager «realize» «realize» FileSystem Dev icemanager manages manages manages 0..2 Base card is represented as an SCA Device Manager. This maps to the use of the File System for flash and multiple devices on the card. DSPDevice «realize» FPGA1Device «realize» manages manages 0..* 3 0..* DSP and FPGAs are represented as discrete SCA Devices providing a fine-grained management and control capability. Device «realize» FPGA2Device manages «realize» FPGA3Device manages GSAW 2004 (Slide 13/17)

14 SDR Flight Processor Requirements Derived from the Ground Basis Multiple FPGAs Maintain identical pin-out on all devices Simple configuration creation/ partitioning High Speed Communication busses Single Microprocessor Interface Independent banks of memory per FPGA Built in Test for fault detection, some isolation, of assembly Configuration Opens Shorts Signal integrity Implement a SEU detection scheme for configuration bit streams These Requirements Support Successful in-flight Software Programmability GSAW 2004 (Slide 14/17)

15 Typical Space SDR Base Card Structure FPGA-3 FPGA-2 FPGA-1 Signal Processing M e z z 1 Memory Memory Memory Mezzanine 2 DSP Programmable Interface Control FPGA RAM Control Global Memory Global Memory Power Module Mezzanine 3 Backplane Connection 2 SEU Backplane Connection 1 CRC Flight Architecture Builds Directly from the Ground Architecture GSAW 2004 (Slide 15/17)

16 Successful Ground and Space Architecture Attributes Programmability is built-in from the start SCA compliance stems from a cohesive development and run-time environment design methodology SDR programmability must be accomplished seamlessly and in minimum time Space SDR platforms build directly from sound ground SDR architectures and features Joint optimization of ground and space architectures lead to a successful, truly programmable Ground/Space system GSAW 2004 (Slide 16/17)

17 Conclusions Software defined implementations provide more opportunity across multiple platforms and maximize design reuse Reuse applies not only at multiple levels within an implementation, but across domains such as from ground to space Common open architectures deliver designs amenable to improvements by multiple parties Overall, SDR implementations provide substantial benefits and lead to successful ground and space communications architecture GSAW 2004 (Slide 17/17)

OMG SBC. Software Radio Cooperative Research Project (SRCRP) Jimmie Marks Roy Bell. March 8, 2006

OMG SBC. Software Radio Cooperative Research Project (SRCRP) Jimmie Marks Roy Bell. March 8, 2006 Software Radio Cooperative Research Project (SRCRP) OMG SBC March 8, 2006 Jimmie Marks Roy Bell January 2005 Copyright 2005, Raytheon Company. All Rights Reserved Agenda Program Overview Architecture Overview

More information

SCA for the Above 2 GHz Waveforms. Cameron Littke Gregg Lind. Slide 1 Copyright 2004 Rockwell Collins Inc. All Rights Reserved

SCA for the Above 2 GHz Waveforms. Cameron Littke Gregg Lind. Slide 1 Copyright 2004 Rockwell Collins Inc. All Rights Reserved SCA for the Above 2 GHz Waveforms Cameron Littke Gregg Lind Slide 1 Agenda Government Mandates for Above 2 GHz implementation Software Communication Architecture Challenges for Above 2 GHz Waveforms Demonstration

More information

The Software Communications Architecture (SCA) and FPGAs Meeting the challenges of integrating FPGA application components using the SCA

The Software Communications Architecture (SCA) and FPGAs Meeting the challenges of integrating FPGA application components using the SCA 1 The Software Communications Architecture (SCA) and FPGAs Meeting the challenges of integrating FPGA application components using the SCA May 26 th 2011 Andrew Foster, Spectra Product Manager Copyright

More information

DISTRIBUTION STATEMENT A. Approved for public release: distribution is unlimited. (10 OCT 2018)

DISTRIBUTION STATEMENT A. Approved for public release: distribution is unlimited. (10 OCT 2018) Dr. Don Stephens JTNC Standards 2 October 2018 Software Communications Architecture (SCA) Framework Original SCA Architecture The Software Communications Architecture (SCA) was an early product of the

More information

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY FINAL / 15 May 2006 Version 2.2.2 Prepared by: JTRS Standards Joint Program Executive Office (JPEO) Joint Tactical Radio System (JTRS)

More information

The MILS Partitioning Communication System + RT CORBA = Secure Communications for SBC Systems

The MILS Partitioning Communication System + RT CORBA = Secure Communications for SBC Systems The MILS Partitioning Communication System + RT CORBA = Secure Communications for SBC Systems Kevin Buesing Objective Interface Systems Field Applications Engineer kevin.buesing@ois.com Jeff Chilton Objective

More information

The Future of Software Radio MDD Tools. Dom Paniscotti Bruce Trask

The Future of Software Radio MDD Tools. Dom Paniscotti Bruce Trask The Future of Software Radio MDD Tools Dom Paniscotti Bruce Trask Current Challenges facing SDR Development 1 Heterogeneous Processing Elements (GPP/DSP/FPGA) Achieving Portability Systematic Reuse - What

More information

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION APPENDIX A: GLOSSARY Version: 4.1 Prepared by: Joint Tactical Networking Center (JTNC) 33000 Nixie Way San Diego, CA 92147-5110 Distribution Statement

More information

The WINLAB Cognitive Radio Platform

The WINLAB Cognitive Radio Platform The WINLAB Cognitive Radio Platform IAB Meeting, Fall 2007 Rutgers, The State University of New Jersey Ivan Seskar Software Defined Radio/ Cognitive Radio Terminology Software Defined Radio (SDR) is any

More information

UNCLASSIFIED. DISTRIBUTION STATEMENT A. Approved for public release: distribution unlimited. (15 May 2018)

UNCLASSIFIED. DISTRIBUTION STATEMENT A. Approved for public release: distribution unlimited. (15 May 2018) Department of Defense Waveform Standards, Compliance & Certification Directorate 19 May 2018 Agenda Application Program Interfaces (API) Definition & Design Patterns Modem Hardware Abstraction Layer (MHAL)

More information

Utilizing the Latest IP Technology for FPGAs to Achieve SDR Architectural Consistency

Utilizing the Latest IP Technology for FPGAs to Achieve SDR Architectural Consistency 1 Utilizing the Latest IP Technology for FPGAs to Achieve SDR Architectural Consistency June 24 th 2011 Andrew Foster, Spectra Product Manager Copyright PrismTech 2009 2008 Proprietary information subject

More information

Performance assessment of CORBA for the transport of userplane data in future wideband radios. Piya Bhaskar Lockheed Martin

Performance assessment of CORBA for the transport of userplane data in future wideband radios. Piya Bhaskar Lockheed Martin Performance assessment of CORBA for the transport of userplane data in future wideband radios Piya Bhaskar Lockheed Martin 1 Outline Introduction to the problem Test Setup Results Conclusion 2 Problem

More information

CanSCA4.1ReplaceSTRSinSpace Applications?

CanSCA4.1ReplaceSTRSinSpace Applications? CanSCA4.1ReplaceSTRSinSpace Applications? RanCheng,LiZhou,QiTang,Dongtang Ma, Haitao Zhao,ShanWangandJibo Wei NationalUniversityofDefenseTechnology May17,2017 1 Outline 1. Introduction 2. Core Framework

More information

SDR-3000 Series Software Defined Radio Transceiver Subsystems

SDR-3000 Series Software Defined Radio Transceiver Subsystems SIGNAL PROCESSING Preliminary SDR-3000 Series Software Defined Radio Transceiver Subsystems cpci Benefits Ultra high performance wireless processing engine Supports signal bandwidths in excess of 32 MHz

More information

The Robot Software Communications Architecture (RSCA): QoS-Aware Middleware for Networked Service Robots

The Robot Software Communications Architecture (RSCA): QoS-Aware Middleware for Networked Service Robots SICE-ICASE International Joint Conference 2006 Oct. 18-21, 2006 in Bexco, Busan, Korea The Robot Software Communications Architecture (RSCA): QoS-Aware Middleware for Networked Service Robots Jonghun Yoo

More information

A Performance Modeling and Simulation Approach to Software Defined Radio

A Performance Modeling and Simulation Approach to Software Defined Radio A Performance Modeling and Simulation Approach to Software Defined Radio OMG Software-Based Communications (SBC) Workshop San Diego, CA - August, 2005 Shawkang Wu & Long Ho Integrated Defense Systems The

More information

Component-Based support for FPGA and DSP

Component-Based support for FPGA and DSP Component-Based support for FPGA and DSP Mark Hermeling (Zeligsoft, Gatineau, QC, Canada; mark@zeligsoft.com) ABSTRACT Until now, Software Defined Radio (SDR) standards have focused on General Purpose

More information

CORBA for DSP & FPGA synthesizing an SCA machine. Andrew Foster Middleware Product Manager PrismTech Corporation

CORBA for DSP & FPGA synthesizing an SCA machine. Andrew Foster Middleware Product Manager PrismTech Corporation CORBA for DSP & FPGA synthesizing an SCA machine Andrew Foster Middleware Product Manager PrismTech Corporation Agenda. Key challenges in the SDR domain 2. Optimised middleware for SDR 3. Standardizing

More information

Software Communications Architecture Specification

Software Communications Architecture Specification Software Communications Architecture Specification JTRS-5000 SCA V3.0 August 27, 2004 Prepared by Joint Tactical Radio System (JTRS) Joint Program Office Revision Summary 1.0 Formal release for initial

More information

Design and Implementation of an Efficient Software Communications Architecture Core Framework for a Digital Signal Processors Platform

Design and Implementation of an Efficient Software Communications Architecture Core Framework for a Digital Signal Processors Platform American J. of Engineering and Applied Sciences 4 (3): 429-434, 2011 ISSN 1941-7020 2014 W.A. Murtada et al., This open access article is distributed under a Creative Commons Attribution (CC-BY) 3.0 license

More information

EXPERIENCE REPORT ON THE USE OF CORBA AS THE SOLE MIDDLEWARE SOLUTION IN SCA-BASED SDR ENVIRONMENTS

EXPERIENCE REPORT ON THE USE OF CORBA AS THE SOLE MIDDLEWARE SOLUTION IN SCA-BASED SDR ENVIRONMENTS EXPERIENCE REPORT ON THE USE OF CA AS THE SOLE MIDDLEWARE SOLUTION IN SCA-BASED SDR ENVIRONMENTS Fabio Casalino (SELEX Communications, Pomezia (Rome), Italy; fabio.casalino@selex-comms.com) Giovanni Middioni

More information

Reconfigurable VLSI Communication Processor Architectures

Reconfigurable VLSI Communication Processor Architectures Reconfigurable VLSI Communication Processor Architectures Joseph R. Cavallaro Center for Multimedia Communication www.cmc.rice.edu Department of Electrical and Computer Engineering Rice University, Houston

More information

SDRF-03-A-0005-V0.0. Software Defined Radio Forum. API Position Paper. System Interface Working Group. Document Number: SDRF-03-A-0005-V0.

SDRF-03-A-0005-V0.0. Software Defined Radio Forum. API Position Paper. System Interface Working Group. Document Number: SDRF-03-A-0005-V0. Software Defined Radio Forum API Position Paper System Interface Working Group Document Number: 0 July 19, 2003 Goal of this Document The System Interface Working Group (SIWG) feels it is necessary to

More information

Code Generation for SCA Components. Mark Hermeling

Code Generation for SCA Components. Mark Hermeling Code Generation for SCA Components Mark Hermeling Code Generation for SCA Components Mark Hermeling The definition and coding of a component that makes up part of an SCA system requires detailed knowledge

More information

Component-Based Support for FPGAs and DSPs in Software Defined Radio. Mark Hermeling

Component-Based Support for FPGAs and DSPs in Software Defined Radio. Mark Hermeling Component-Based Support for FPGAs and DSPs in Software Defined Radio Mark Hermeling Component-Based Support for FPGAs and DSPs in Software Defined Radio Mark Hermeling Until now, Software Defined Radio

More information

Embedded SDR for Small Form Factor Systems

Embedded SDR for Small Form Factor Systems Embedded SDR for Small Form Factor Systems Philip Balister, Tom Tsou, and Jeff Reed MPRG Wireless @ Virginia Tech Blacksburg, VA 24060 balister@vt.edu Outline Embedded Software Defined Radio SDR Frameworks

More information

High Data Rate Fully Flexible SDR Modem

High Data Rate Fully Flexible SDR Modem High Data Rate Fully Flexible SDR Modem Advanced configurable architecture & development methodology KASPERSKI F., PIERRELEE O., DOTTO F., SARLOTTE M. THALES Communication 160 bd de Valmy, 92704 Colombes,

More information

Software Communications Architecture

Software Communications Architecture Software Communications Architecture RF Non-CORBA Modem Components Physical API Modem Modem Components Adapter CORBA ORB & Services (Middleware) Operating System Black Hardware Bus Link, Network Components

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

Real-Time CORBA Experiences in an Avionics Domain

Real-Time CORBA Experiences in an Avionics Domain Real-Time CORBA Experiences in an Avionics Domain Jeanna Gossett, David Corman and David Sharp The Boeing Company OMG Real-Time Embedded and Distributed Object Computing Workshop June 7, 2001 Bold Stroke

More information

Design of Portable Waveform SW Applications

Design of Portable Waveform SW Applications Design of Portable Waveform SW Applications Daniele Olmisani SELEX Elsag Spa daniele.olmisani@selexelsag.com WInnForum Webinar Series: The Software Communications Architecture 16/11/2011 16/11/2011 SELEX

More information

Interoperable Software Radio Project. The desires and concerns of software defined radio implementations

Interoperable Software Radio Project. The desires and concerns of software defined radio implementations Interoperable Software Radio Project The desires and concerns of software defined radio implementations Al Emondi, MSEE Head, Advanced Technology Engineering Space and Naval Warfare Systems Center (843)

More information

THE VANU SOFTWARE RADIO SYSTEM

THE VANU SOFTWARE RADIO SYSTEM THE VANU SOFTWARE RADIO SYSTEM Dr. John M. Chapin (Vanu, Inc., Cambridge, MA, USA, jchapin@vanu.com) Dr. Vanu G. Bose (Vanu, Inc., Cambridge, MA, USA, vanu@vanu.com) ABSTRACT Vanu Software Radio is a software

More information

Component-based Engineering for Embedded Systems USA EU workshop

Component-based Engineering for Embedded Systems USA EU workshop July 7, 2005 Component-based Engineering for Embedded Systems USA EU workshop Philippe Kajfasz philippe.kajfasz@fr.thalesgroup.com July 7, 2005 Software part in RT/E Systems is increasing RT/E Systems

More information

DESIGN AND IMPLEMENTATION OF AN SCA CORE FRAMEWORK FOR A DSP PLATFORM

DESIGN AND IMPLEMENTATION OF AN SCA CORE FRAMEWORK FOR A DSP PLATFORM DESIGN AND IMPLEMENTATION OF AN SCA CORE FRAMEWORK FOR A DSP PLATFORM Carlos R. Aguayo Gonzalez (MPRG, Wireless@Virginia Tech, Blacksburg, VA, USA; caguayog@vt.edu); Francisco Portelinha (Universidade

More information

MyCCM. A Component Based Approach for Real-Time & Critical Systems. Olivier Hachet Thales Communications

MyCCM. A Component Based Approach for Real-Time & Critical Systems. Olivier Hachet Thales Communications MyCCM A Component Based Approach for Real-Time & Critical Systems Thales Communications Olivier Hachet Olivier.hachet@thalesgroup.com 2 / Agenda 1) Path toward CBSE for RTE 2) The MyCCM Framework 3) Future

More information

EXPERIENCE REPORT: RAPID MODEL-DRIVEN WAVEFORM DEVELOPMENT WITH UML

EXPERIENCE REPORT: RAPID MODEL-DRIVEN WAVEFORM DEVELOPMENT WITH UML EXPERIENCE REPORT: RAPID MODEL-DRIVEN WAVEFORM DEVELOPMENT WITH UML Shaw-Ping Lee (Thales Technology Centre Singapore, Singapore, shawping.lee@asia.thalesgroup.com); Mark Hermeling (Zeligsoft Inc., Quebec,

More information

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications

Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications Embedded Tech Trends 2014 Rodger H. Hosking Pentek, Inc. VPX for Rugged, Conduction-Cooled Software Radio Virtex-7 Applications System Essentials: Rugged Software Radio Industry Standard Open Architectures

More information

OMG SBC Workshop: Realizing the Vision. SCA Evolution and Standardization Presented by: Jerry Bickle Date: March 7 th 2007

OMG SBC Workshop: Realizing the Vision. SCA Evolution and Standardization Presented by: Jerry Bickle Date: March 7 th 2007 OMG SBC Workshop: Realizing the Vision SCA Evolution and Standardization Presented by: Jerry Bickle Date: March 7 th 2007 Agenda 1 Software Radio Spec Overview Software Radio Flexibility and Optimizations

More information

PC/104 Test-Bed for Software GPS Receiver (SGR) and Software Defined Radio (SDR) Applications

PC/104 Test-Bed for Software GPS Receiver (SGR) and Software Defined Radio (SDR) Applications PC/104 Test-Bed for Software GPS Receiver (SGR) and Software Defined Radio (SDR) Applications Frank Carpenter, NAVSYS Corporation 14960 Woodcarver Road Colorado Springs, CO 80921 (719) 481-4877 x137 (719)

More information

Weapon Systems Open Architecture Overview

Weapon Systems Open Architecture Overview Weapon Systems Open Architecture Overview OMG Real-Time and Embedded Distributed Object Computing Workshop July 24-27, 2000 . Vision for Joint Theater Operations Joint Joint Forces Forces Global Global

More information

Session 4 - Commercial SDR. Wednesday 13:30 15:30

Session 4 - Commercial SDR. Wednesday 13:30 15:30 Session 4 - Commercial SDR Wednesday 13:30 15:30 David K. Murotake, Ph.D. Session Chair Chair, SDR Forum Markets Committee dmurotak@scatechnica.com Mobile: (603) 321-6536 www.scatechnica.com SBC Workshop

More information

C6100 Ruggedized PowerPC VME SBC

C6100 Ruggedized PowerPC VME SBC C6100 Ruggedized PowerPC VME SBC Rugged 6U VME Single Slot SBC Conduction and Air-Cooled Versions Two Asynchronous Serial Interfaces Four 32-Bit Timers G4 MPC7457 PowerPC with AltiVec Technology @ up to

More information

SPMC/DPMC-214. CANbus, MilCAN, Utility Bus, and Discrete Digital I/O Module. --Based on dual ColdFire 5485 processors with dual FlexCan interfaces

SPMC/DPMC-214. CANbus, MilCAN, Utility Bus, and Discrete Digital I/O Module. --Based on dual ColdFire 5485 processors with dual FlexCan interfaces Data Sheet SPMC/DPMC-214 CANbus, MilCAN, Utility Bus, and Discrete I/O Module Features Four CANbus 2.0-compliant/MilCAN interfaces --Based on dual ColdFire 5485 processors with dual FlexCan interfaces

More information

S950 3U cpci Radiation Tolerant PowerPC SBC

S950 3U cpci Radiation Tolerant PowerPC SBC S950 3U cpci Radiation Tolerant PowerPC SBC Designed for LEO, Mars Terrestrial with an Option for GEO Environments Single-Slot Conduction-Cooled 3U CompactPCI (cpci) Single Board Computer (SBC) High Performance

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1

Module 1. Introduction. Version 2 EE IIT, Kharagpur 1 Module 1 Introduction Version 2 EE IIT, Kharagpur 1 Lesson 3 Embedded Systems Components Part I Version 2 EE IIT, Kharagpur 2 Structural Layout with Example Instructional Objectives After going through

More information

Predetermined I/O on SBC s

Predetermined I/O on SBC s It s a fact that today s demanding military embedded systems require lots of processing horsepower to perform a plethora of mission-critical applications. Much attention is given to the processor or SBC

More information

SOFTWARE DEFINED RADIO TEST BED FOR INTEGRATED COMMUNICATIONS AND NAVIGATION APPLICATIONS

SOFTWARE DEFINED RADIO TEST BED FOR INTEGRATED COMMUNICATIONS AND NAVIGATION APPLICATIONS SOFTWARE DEFINED RADIO TEST BED FOR INTEGRATED COMMUNICATIONS AND NAVIGATION APPLICATIONS Frank Carpenter (frankc@navsys.com), Srikathyayani Srikanteswara (srikathyayanis@navsys.com), Alison Brown (abrown@navsys.com)

More information

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks 2014 The MathWorks, Inc. 1 Agenda -Based Design for FPGA and ASIC Generating HDL Code from MATLAB and Simulink For prototyping

More information

CERDEC C4ISR/EW Hardware/Software Convergence

CERDEC C4ISR/EW Hardware/Software Convergence APPROVED FOR PUBLIC RELEASE DISTRIBUTION STATEMENT A. Approved for public release, distribution is unlimited. CERDEC C4ISR/EW Hardware/Software Convergence 14 SEP 16 Jason Dirner Intel Technology and Architecture

More information

The S6000 Family of Processors

The S6000 Family of Processors The S6000 Family of Processors Today s Design Challenges The advent of software configurable processors In recent years, the widespread adoption of digital technologies has revolutionized the way in which

More information

DEVELOPMENT OF A SCA 3.1 COMPLIANT W-CDMA WAVEFORM ON DSP/FPGA SPECIALIZED HARDWARE

DEVELOPMENT OF A SCA 3.1 COMPLIANT W-CDMA WAVEFORM ON DSP/FPGA SPECIALIZED HARDWARE DEVELOPMENT OF A SCA 3.1 COMPLIANT W-CDMA WAVEFORM ON DSP/FPGA SPECIALIZED HARDWARE Maxime Dumas, Lyrtech Inc., Quebec, Canada maxime.dumas@lyrtech.com Louis Bélanger, Lyrtech Inc., Quebec, Canada louis.belanger@lyrtech.com

More information

Simplifying FPGA Design for SDR with a Network on Chip Architecture

Simplifying FPGA Design for SDR with a Network on Chip Architecture Simplifying FPGA Design for SDR with a Network on Chip Architecture Matt Ettus Ettus Research GRCon13 Outline 1 Introduction 2 RF NoC 3 Status and Conclusions USRP FPGA Capability Gen

More information

OMG Real Time Workshop

OMG Real Time Workshop OMG Real Time Workshop ORB Inter-Operability Testing Traci McDonald NSWCDD Charlie Fudge NSWCDD 1 Purpose Purpose of the Presentation Present motivation for the task and a sample of the results of inter-operability

More information

Experience Report on Implementing and Applying a Standard Real- Time Embedded Component Platform Gregory Haik gregory.haik [at] fr.thalesgroup.

Experience Report on Implementing and Applying a Standard Real- Time Embedded Component Platform Gregory Haik gregory.haik [at] fr.thalesgroup. Experience Report on Implementing and Applying a Standard Real- Time Embedded Component Platform Gregory Haik gregory.haik [at] fr.thalesgroup.com V. Watine, V. Seignole, O. Hachet, J.-L. Gilbert, H. Balp

More information

Design and Verification of FPGA Applications

Design and Verification of FPGA Applications Design and Verification of FPGA Applications Giuseppe Ridinò Paola Vallauri MathWorks giuseppe.ridino@mathworks.it paola.vallauri@mathworks.it Torino, 19 Maggio 2016, INAF 2016 The MathWorks, Inc. 1 Agenda

More information

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION VERSION 4.1 FEATURES AND BENEFITS

SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION VERSION 4.1 FEATURES AND BENEFITS SCA 4.1 Features and Benefits Version: 1.0 SOFTWARE COMMUNICATIONS ARCHITECTURE SPECIFICATION VERSION 4.1 FEATURES AND BENEFITS Version: 1.0 Prepared by: Joint Tactical Networking Center 33000 Nixie Way

More information

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670

PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 PXA270 EPIC Computer with Power Over Ethernet & Six Serial Protocols SBC4670 Features RoHS 520MHz Low-power ARM processor w/ 800 x 600 Color LCD Power Over Ethernet and 10/100BASE-T Ethernet GPS module

More information

The Robot Software Communications Architecture (RSCA): Embedded Middleware for Networked Service Robots

The Robot Software Communications Architecture (RSCA): Embedded Middleware for Networked Service Robots The Robot Software Communications Architecture (RSCA): Embedded Middleware for Networked Service Robots Seongsoo Hong 1, Jaesoo Lee 1, Hyeonsang Eom 2, and Gwangil Jeon 3 1 Real-Time Operating Systems

More information

Embedded Controller combines Machine Control and Data Acquisition using EPICS and MDSplus P. Milne

Embedded Controller combines Machine Control and Data Acquisition using EPICS and MDSplus P. Milne Embedded Controller combines Machine Control and Data Acquisition using EPICS and MDSplus P. Milne Solutions Ltd, James Watt Building, SETP, G75 0QD East Kilbride, United Kingdom Applications such as pulse

More information

PRELIMINARY IDT7M9510 IDT7M9514

PRELIMINARY IDT7M9510 IDT7M9514 79RV60/79RC6V7 PCI MEZZANINE CARD PRELIMINARY 7M9510 7M951 FEATURES: PCI Mezzanine Card (PMC) (IEEE 1386) form factor 7M9510 High performance 79RV60 MIPS Processor 100Mhz, 150Mhz, 0Mhz, 00MHz CPU speeds

More information

Microprocessor (COM 9323)

Microprocessor (COM 9323) Microprocessor (COM 9323) Lecture 1: Introduction Ahmed Elnakib, PhD Assistant Professor, Mansoura University, Egypt Feb 17 th, 2016 1 Course Syllabus* o Introduction to computer architecture o Basics

More information

Standardizing On A Set Of Radio Set APIs To Ensure Waveform Portability

Standardizing On A Set Of Radio Set APIs To Ensure Waveform Portability Standardizing On A Set Of Radi Set APIs T Ensure Wavefrm Prtability Glenn Fgarty Embedded Sftware Engineer The Being Cmpany, Anaheim, CA glenn.a.fgarty@being.cm (714) 762-0137 Wavefrm Applicatin Prtability

More information

Avionics / Avionics Test Specialists

Avionics / Avionics Test Specialists Innovative High Tech Solutions for Leading Edge Aerospace Companies www.icsaero.com Avionics / Avionics Test Specialists Design, Manufacture, and Production of Avionics and Avionics Test & Simulation Instruments

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

A Reference Architecture for Payload Reusable Software (RAPRS)

A Reference Architecture for Payload Reusable Software (RAPRS) SAND2011-7588 C A Reference Architecture for Payload Reusable Software (RAPRS) 2011 Workshop on Spacecraft Flight Software Richard D. Hunt Sandia National Laboratories P.O. Box 5800 M/S 0513 Albuquerque,

More information

IP For Responsive Microsats, A Practical Approach. Space Internet Workshop 5, 2006 Assi Friedman & Jeffrey Janicik Innoflight Inc.

IP For Responsive Microsats, A Practical Approach. Space Internet Workshop 5, 2006 Assi Friedman & Jeffrey Janicik Innoflight Inc. IP For Responsive Microsats, A Practical Approach Space Internet Workshop 5, 2006 Assi Friedman & Jeffrey Janicik Innoflight Inc. Presentation Abstract Using Internet Protocols on smallsats started as

More information

Using a Real-time, QoS-based ORB to Intelligently Manage Communications Bandwidth in a Multi-Protocol Environment

Using a Real-time, QoS-based ORB to Intelligently Manage Communications Bandwidth in a Multi-Protocol Environment Using a Real-time, QoS-based ORB to Intelligently Manage Communications Bandwidth in a Multi-Protocol Environment Bill Beckwith Objective Interface Systems, Inc. +1 703 295 6500 bill.beckwith@ois.com http://www.ois.com

More information

Cyclone III LS FPGAs Altera Corporation Public

Cyclone III LS FPGAs Altera Corporation Public Cyclone III LS FPGAs Introducing Cyclone III LS Devices Low power 200K LE for under 0.25 Watt TSMC 60-nm low-power (LP) process Quartus II software power-aware design flow Broadcast Industrial Military

More information

C900 PowerPC G4+ Rugged 3U CompactPCI SBC

C900 PowerPC G4+ Rugged 3U CompactPCI SBC C900 PowerPC G4+ Rugged 3U CompactPCI SBC Rugged 3U CompactPCI SBC PICMG 2.0, Rev. 3.0 Compliant G4+ PowerPC 7447A/7448 Processor @ 1.1 Ghz with AltiVec Technology Marvell MV64460 Discovery TM III System

More information

High-Level Synthesis with LabVIEW FPGA

High-Level Synthesis with LabVIEW FPGA High-Level Synthesis with LabVIEW FPGA National Instruments Agenda Introduction NI RIO technology LabVIEW FPGA & IP Builder RIO Hardware Platform Application 2 An Ideal Embedded Architecture Processor

More information

The Design and Performance of a Pluggable Protocols Framework for Real-time Distributed Object Computing Middleware

The Design and Performance of a Pluggable Protocols Framework for Real-time Distributed Object Computing Middleware The Design and Performance of a Pluggable Protocols Framework for Real-time Distributed Object Computing Middleware, Fred Kuhns, Douglas C. Schmidt, Ossama Othman and Jeff Parsons coryan@uci.edu http://www.ece.uci.edu/coryan/

More information

A QoS-aware CCM for DRE System Development

A QoS-aware CCM for DRE System Development A QoS-aware CCM for DRE System Development Nanbor Wang Tech-X Corporation 5561 Arapahoe Ave., Suite A Boulder, CO 33 Chris Gill Dept. of Computer Science and Engineering Washington University One Brookings

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Outline Embedded systems overview What is embedded system Characteristics Elements of embedded system Trends in embedded system Design cycle 2 Computing Systems Most of

More information

T1042-based Single Board Computer

T1042-based Single Board Computer T1042-based Single Board Computer High Performance/Low Power DO-254 Certifiable SBC IP Features and Benefits Part of the COTS-D family of safety certifiable modules Single conduction-cooled rugged module

More information

Celeron EPIC Computer with GUI and Dual Ethernet SBC4685

Celeron EPIC Computer with GUI and Dual Ethernet SBC4685 Celeron EPIC Computer with GUI and Dual SBC4685 Features Ready to run Celeron/Pentium III computer Color flat-panel support Four serial ports CAN Bus interface PC/104 & PC/104-Plus expansion The SBC4685

More information

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3

MIL-STD-1553 (T4240/T4160/T4080) 12/8/4 2 PMC/XMC 2.0 WWDT, ETR, RTC, 4 GB DDR3 Rugged 6U VME Single-Slot SBC Freescale QorIQ Multicore SOC 1/8/4 e6500 Dual Thread Cores (T440/T4160/T4080) Altivec Unit Secure Boot and Trust Architecture.0 4 GB DDR3 with ECC 56 MB NOR Flash Memory

More information

CORBA Across Embedded Devices

CORBA Across Embedded Devices Embedded Devices Victor Giddings Objective Interface Systems 1 Common Object Request Broker Architecture (CORBA) Systems Client Mainline Server Mainline Generated Stub Generated Skeleton ORB library ORB

More information

System Threat Analysis Case Study for Software Based Communications

System Threat Analysis Case Study for Software Based Communications System Threat Analysis Case Study for Software Based Communications David K. Murotake, Ph.D. dmurotak@scatechnica.com Mobile: (603) 321-6536 www.scatechnica.com SBC Workshop 2004 1 Introduction Software

More information

AMC data sheet. PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems

AMC data sheet.   PMC Module with four CAN bus Nodes ARINC825 compliant for Testing & Simulation of Avionic CAN bus Systems data sheet PMC Module with four bus Nodes ARINC825 compliant for Testing & Simulation of Avionic bus Systems Avionics Databus Solutions product guide General Features The PCI Mezzanine Card (PMC) can work

More information

A Concept for Waveform Description based SDR Implementation

A Concept for Waveform Description based SDR Implementation A Concept for Waveform Description based SDR Implementation T. Kempf, E. M. Witte, O. Schliebusch, G. Ascheid Institute for Integrated Signal Processing Systems, RWTH Aachen University, Germany kempf@iss.rwth-aachen.de

More information

1. IEEE and ZigBee working model.

1. IEEE and ZigBee working model. ZigBee SoCs provide cost-effective solutions Integrating a radio transceiver, data processing unit, memory and user-application features on one chip combines high performance with low cost Khanh Tuan Le,

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

A Software Communications Architecture Compliant Software Defined Radio Implementation

A Software Communications Architecture Compliant Software Defined Radio Implementation A Software Communications Architecture Compliant Software Defined Radio Implementation A Thesis Presented by Sabri Murat Biçer to The Department of Electrical and Computer Engineering in partial fulfillment

More information

Digital electronics & Embedded systems

Digital electronics & Embedded systems FYS3240 PC-based instrumentation and microcontrollers Digital electronics & Embedded systems Spring 2017 Lecture #10 Bekkeng, 30.1.2017 Embedded systems An embedded system is a special-purpose system designed

More information

Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision

Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision Cisco Unified Computing System Delivering on Cisco's Unified Computing Vision At-A-Glance Unified Computing Realized Today, IT organizations assemble their data center environments from individual components.

More information

Can SCA 4.1 Replace STRS in Space Applications?

Can SCA 4.1 Replace STRS in Space Applications? Can SCA 4.1 Replace STRS in Space Applications? Ran Cheng 1, Li Zhou 1*, Qi Tang 1, Dongtang Ma 1, Haitao Zhao 1, Shan Wang 1, Jibo Wei 1 1 College of Electronic Science and Engineering, National University

More information

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany 2013 The MathWorks, Inc. 1 Agenda Model-Based Design of embedded Systems Software Implementation

More information

Software Communications Architecture (SCA) and Rapid Application Development

Software Communications Architecture (SCA) and Rapid Application Development Software Communications Architecture (SCA) and Rapid Application Development Presented by: Steve Bernier and Hugues Latour Communications Research Centre Canada November 5, 2007 1 Outline SCA Overview

More information

C901 PowerPC MPC7448 3U CompactPCI SBC

C901 PowerPC MPC7448 3U CompactPCI SBC C901 PowerPC MPC7448 3U CompactPCI SBC Rugged 3U CompactPCI SBC PowerPC 7448 @ 1.4 GHz, 1.0 GHz, or 600 MHz, with AltiVec Technology 166 MHz MPX Bus Marvell MV64460 Discovery TM III System Controller One

More information

A Software Development and Validation Framework for SDR Platforms

A Software Development and Validation Framework for SDR Platforms A Software Development and Validation Framework for SDR Platforms Jeroen.Declerck@imec.be Outline IMEC SDR Platform Problem Statement Framework (XMSF) Implementation XMSS server Graphical logger IMEC SDR

More information

Cognitive Radio Networks

Cognitive Radio Networks Cognitive Radio Networks Parag Naik & Anindya Saha The SDR Company Current Network Challenges Standards churn Frequent network upgrades Major 3GPP LTE release every 18 months Higher investment and lower

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

Request for Comment on CORBA Profile for SCA Next. Document WINNF-10-RFI-0002

Request for Comment on CORBA Profile for SCA Next. Document WINNF-10-RFI-0002 Request for Comment on CORBA Profile for SCA Next Document WINNF-10-RFI-0002 Version V1.0.0 23 Mar 2010 Responses Due 1 May 2010 TERMS, CONDITIONS & NOTICES SCA Next Task Group This document has been prepared

More information

APPLYING DESIGN PATTERNS TO SCA IMPLEMENTATIONS

APPLYING DESIGN PATTERNS TO SCA IMPLEMENTATIONS APPLYING DESIGN PATTERNS TO SCA IMPLEMENTATIONS Adem Zumbul (TUBITAK-UEKAE, Kocaeli, Turkey, ademz@uekae.tubitak.gov.tr); Tuna Tugcu (Bogazici University, Istanbul, Turkey, tugcu@boun.edu.tr) ABSTRACT

More information

Leveraging Adaptive Software Standards to Enable the Rapid Standup of Small Satellite Ground Systems

Leveraging Adaptive Software Standards to Enable the Rapid Standup of Small Satellite Ground Systems Leveraging Adaptive Software Standards to Enable the Rapid Standup of Small Satellite Ground Systems Mike Sotak, Kratos Defense 1 March 2016 2016 by Kratos Defense. Published by The Aerospace Corporation

More information

ALCATEL 9910 OMNISAT: A NEW MODULAR, ANY MISSION EARTH OBSERVATION DATA ACQUISITION SYSTEM

ALCATEL 9910 OMNISAT: A NEW MODULAR, ANY MISSION EARTH OBSERVATION DATA ACQUISITION SYSTEM ALCATEL 9910 OMNISAT: A NEW MODULAR, ANY MISSION EARTH OBSERVATION DATA ACQUISITION SYSTEM Abdelkrim Azzouza, Lucien Hermans Alcatel Alenia Space Antwerp Berkenrodelei 33 B-2660 Hoboken, Belgium abdelkrim.azzouza@alcatelaleniaspace.com,

More information

10.3 A General Purpose FPGA Based Radar Controller and Signal Processor

10.3 A General Purpose FPGA Based Radar Controller and Signal Processor 1 10.3 A General Purpose FPGA Based Radar Controller and Signal Processor Charles Martin*, Eric Loew, Chris Burghart National Center for Atmospheric Research, Boulder, Colorado 1 Introduction 1 Radar implementations

More information