ECC Approach for Correcting Errors Not Handled by RAID Recovery

Size: px
Start display at page:

Download "ECC Approach for Correcting Errors Not Handled by RAID Recovery"

Transcription

1 ECC Approach for Correcting Errors Not Handled by RAID Recovery Jeff Yang Siliconmotion Flash Memory Summit 27 Note: All the material are the concept proof and simulation.s It is not the real Siliconmotion s fianl product.

2 Troditional Error recovery flow Flash Memory Summit 23 Flash Memory Summit 27 2

3 3D NAND Challenges Each 3D generation will increase the layer number by 3~5%. High-aspect ratio channel hole etch. Cell current reduction is seriously concerned. Reduce the read-voltage to improve the read-count (degradation the read-disturbance) make cell current worse. Different cell characteristics for each WL. (program-speed, cell-tocell interference, retention) Poor retention characteristics. Not easy to screen out some defects Especially on bit-column related defect. Flash Memory Summit 27 Ref: Evolution of NAND flash memory: from 2D to 3D 27 IMW 3

4 RAID is good, but. Put the data from the same failure range into the different RAID protection group. Add more write overhead, because the additional parity will be written. If the failure range cross the block, a plan based protection range is needed. Ch Ch Ch2 Ch3 Super-page bundle Plane Plane Plane Plane Plane Plane Plane Plane 2.5% overhead Ch Super-page bundle Plane Plane 5% overhead in single chip applications Flash Memory Summit 27 4

5 A read back verify scheme 2 TLC region Copy the data from SLC to TLC without RAID SLC region Write to the SLC first, with RAID protection Write channel Buffer for DATA Read channel NAND interface Controller 3 Read back check If error bit is few, program successfully. Plane Plane Plane Plane Plane Plane The SLC to TLC internal copy will be use to accelerate the write performance and power saving. (cause some random error bit.) If the TLC write complete, there is still a probability on TLC read fail from read-disturbance, X-temp, or Data-retention. Flash Memory Summit 27 5

6 Bit column related Failure Flash Memory Summit 27 6

7 Bit column related Failure(Zoom-in) Flash Memory Summit 27 7

8 Column fail after Low P/E cycle Flash Memory Summit 27 8

9 Column fail after median P/E cycle Flash Memory Summit 27 9

10 Column fail after high P/E cycle Flash Memory Summit 27

11 Vth plot after the P/E cycle A B C D E F G Lower Middle Upper Flash Memory Summit 27

12 Erase Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 2

13 PV Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 3

14 PV2 Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 4

15 PV3 Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 5

16 PV4 Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 6

17 PV5 Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 7

18 PV6 Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 8

19 PV7 Vth plot after the P/E cycle PV PV3 PV4 PV5 PV6 PV7 Lower Middle Upper Flash Memory Summit 27 9

20 UBER Soft-decoding vs. HRE These strong error(high reliability error, HRE) is grow with the P/E. HRE will dominate the LDPC engine s decoding capability. These kinds of HRE is predictable and recordable..e+4 Error profile Strong-Error simulation.e+3 HRE.E-4.E+2.E-6.E-8.E-.E-2.E-4.E-6.. Flash Memory Summit 27 RBER hre hre5 hre hre5 hre2 hre25.e+.e+ Sign Soft Soft 2

21 Caching system to record the high frequency HRE location DSP-engine Blk# pg# Chk# HRE-loc 7 22 x x xa x2d3. compare the HRE location. 2. if: loc hit, cnt else: 4. if: the buffer full, remove the oldest loc, with smallest cnt 5. record the HRE-loc. Flash Memory Summit 27 cnt HRE Identifier Yes If HRE# > threshold 5 Channel value Buffer -sign 2-soft Hard-decision result 2 3 LDPC decoder 2

22 When HRE cause the Decoding fail DSP-engine After the Vth-tracking to get the proper error profile Blk# pg# Chk# HRE-loc 7 22 x x xa x2d3 cnt Fix LLR value on the HRE location Channel value buffer Hard-decision result 5 Re-decoding LDPC decoder Yes 3 Chunk address match Decode done, but uncorrectable 2 Flash Memory Summit 27 22

23 FER KB LDPC simulation result.e+ RBER vs. FER.E-.E-2.E-3.E-4.E-5.E RBER AWGN add 5bit HRE 5bit HRE fixed bit HRE fixed The HRE location will be logged. Flash Memory Summit 27 RBER=.25% with 5bit HRE: ~99.9% become correctable. RBER =.25% with bit HRE: ~98% become correctable. 23

24 HRE aware iterative decoding Hard decoding Moving Read (Using read-retry table) Soft-decoding (Iterative decoding) HRE aware iterative decoding RAID protection (addition parity) DISK Rescue Flash Memory Summit 27 24

25 Thanks Q&A Flash Memory Summit 27 25

Self-Adaptive NAND Flash DSP

Self-Adaptive NAND Flash DSP Self-Adaptive NAND Flash DSP Wei Xu 2018/8/9 Outline NAND Flash Data Error Recovery Challenges of NAND Flash Data Integrity A Self-Adaptive DSP Technology to Improve NAND Flash Memory Data Integrity 6

More information

ASIC/Merchant Silicon Chip-Based Flash Controllers

ASIC/Merchant Silicon Chip-Based Flash Controllers ASIC/erchant Silicon Chip-Based Flash Controllers Jeff Yang Silicon otion Flash emory Summit 27 Santa Clara, CA Basic architecture TC region SC region Write channel Read channel Buffer for DATA CP Buffer

More information

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Qiao Li, Liang Shi, Chun Jason Xue Qingfeng Zhuge, and Edwin H.-M. Sha College of Computer Science, Chongqing University

More information

High-Efficiency SSD for Reliable Data Storage Systems

High-Efficiency SSD for Reliable Data Storage Systems High-Efficiency SSD for Reliable Data Storage Systems -Improving endurance and data reliability of next generation flash in embedded applications Jeff Yang Principle engineer Silicon Motion, Inc. jeff.yang@siliconmotion.com

More information

Controller Concepts for 1y/1z nm and 3D NAND Flash

Controller Concepts for 1y/1z nm and 3D NAND Flash Controller Concepts for 1y/1z nm and 3D NAND Flash Erich F. Haratsch Santa Clara, CA 1 NAND Evolution Planar NAND scaling is coming to an end in the sub- 20nm process 15nm and 16nm NAND are the latest

More information

Error Recovery Flows in NAND Flash SSDs

Error Recovery Flows in NAND Flash SSDs Error Recovery Flows in NAND Flash SSDs Viet-Dzung Nguyen Marvell Semiconductor, Inc. Flash Memory Summit 2018 Santa Clara, CA 1 Outline Data Reliability in NAND Flash Memories Concept of an Error Recovery

More information

NAND Controller Reliability Challenges

NAND Controller Reliability Challenges NAND Controller Reliability Challenges Hanan Weingarten February 27, 28 28 Toshiba Memory America, Inc. Agenda Introduction to NAND and 3D technology Reliability challenges Summary 28 Toshiba Memory America,

More information

NAND Flash Basics & Error Characteristics

NAND Flash Basics & Error Characteristics NAND Flash Basics & Error Characteristics Why Do We Need Smart Controllers? Thomas Parnell, Roman Pletka IBM Research - Zurich Santa Clara, CA 1 Agenda Part I. NAND Flash Basics Device Architecture (2D

More information

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Yu Cai, Yixin Luo, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *LSI Corporation 1 Many use

More information

FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC. Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013

FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC. Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013 FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013 AGENDA Section 1: Flash Reliability Section 2: Components to Improve Flash Reliability Section 3:

More information

Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices. Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu

Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices. Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu 1 Example Target Devices for Chip-Off Analysis Fire damaged

More information

Five Key Steps to High-Speed NAND Flash Performance and Reliability

Five Key Steps to High-Speed NAND Flash Performance and Reliability Five Key Steps to High-Speed Flash Performance and Reliability Presenter Bob Pierce Flash Memory Summit 2010 Santa Clara, CA 1 NVM Performance Trend ONFi 2 PCM Toggle ONFi 2 DDR SLC Toggle Performance

More information

Sub-block Wear-leveling for NAND Flash

Sub-block Wear-leveling for NAND Flash IBM Research Zurich March 6, 2 Sub-block Wear-leveling for NAND Flash Roman Pletka, Xiao-Yu Hu, Ilias Iliadis, Roy Cideciyan, Theodore Antonakopoulos Work done in collaboration with University of Patras

More information

A Self Learning Algorithm for NAND Flash Controllers

A Self Learning Algorithm for NAND Flash Controllers A Self Learning Algorithm for NAND Flash Controllers Hao Zhi, Lee Firmware Manager Core Storage Electronics Corp./Phison Electronics Corp. haozhi_lee@phison.com Santa Clara, CA 1 Outline Basic FW Architecture

More information

Designing Enterprise SSDs with Low Cost Media

Designing Enterprise SSDs with Low Cost Media Designing Enterprise SSDs with Low Cost Media Jeremy Werner Director of Marketing SandForce Flash Memory Summit August 2011 Santa Clara, CA 1 Everyone Knows Flash is migrating: To smaller nodes 2-bit and

More information

A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization

A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization A Novel On-the-Fly NAND Flash Read Channel Parameter Estimation and Optimization Tingjun Xie Staff Engineer VIA Technologies, Inc. TingjunXie@viatech.com Santa Clara, CA 1 Outline Significance of flash

More information

Optimizes Embedded Flash-based Storage for Automotive Use

Optimizes Embedded Flash-based Storage for Automotive Use WHITE PAPER Optimizes Embedded Flash-based Storage for Automotive Use The In-Vehicle Infotainment (IVI) systems in new car designs today have a huge appetite for data storage capacity and this appetite

More information

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Yu Cai, Yixin Luo, Saugata Ghose, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *Seagate Technology

More information

3D NAND - Data Recovery and Erasure Verification

3D NAND - Data Recovery and Erasure Verification 3D NAND - Data Recovery and Erasure Verification Robin England Hardware Research & Development Team Lead Santa Clara, CA The Causes of SSD Data Loss What can go wrong? Logical Damage Data accidentally

More information

Raising QLC Reliability in All-Flash Arrays

Raising QLC Reliability in All-Flash Arrays Raising QLC Reliability in All-Flash Arrays Jeff Yang Principal Engineer Storage Research Dept. Silicon Motion, Inc. Santa Clara, CA 1 QLC Characteristics (Estimation) QLC Endurance: 1~3K P/E.(limited

More information

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, Erich F. Haratsch February 6, 2017

More information

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension DPA: A data pattern aware error prevention technique for NAND flash lifetime extension *Jie Guo, *Zhijie Chen, **Danghui Wang, ***Zili Shao, *Yiran Chen *University of Pittsburgh **Northwestern Polytechnical

More information

NAND Flash Status Prediction by Machine Learning

NAND Flash Status Prediction by Machine Learning NAND Flash Status Prediction by Machine Learning Cloud Zeng LiteOn/Storage/NVM Lab Flash Memory Summit 2016 Santa Clara, CA 1 The Evolution Of Error Handle TRIAL & ERROR ACROSS THE MAZE??? BREAK DOWN THE

More information

WHITE PAPER. Title What kind of NAND flash memory is used for each product? ~~~ Which is suitable SD card from reliability point of view?

WHITE PAPER. Title What kind of NAND flash memory is used for each product? ~~~ Which is suitable SD card from reliability point of view? Panasonic SD memory card White Paper Number : 002 Issue Date : 25-March-2015 Rev : 1.00 Title What kind of NAND flash memory is used for each product? ~~~ Which is suitable SD card from reliability point

More information

Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash. Hanan Weingarten, CTO, DensBits Technologies

Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash. Hanan Weingarten, CTO, DensBits Technologies Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash Hanan Weingarten, CTO, DensBits Technologies August 21, 2012 1 Outline Requirements 1xnm/2xnm TLC NAND Flash Reliability Challenges

More information

NAND Flash: Where we are, where are we going?

NAND Flash: Where we are, where are we going? NAND Flash: Where we are, where are we going? Pranav Kalavade Intel Corporation Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary Cell Size [um

More information

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce

The Evolving NAND Flash Business Model for SSD. Steffen Hellmold VP BD, SandForce The Evolving NAND Flash Business Model for SSD Steffen Hellmold VP BD, SandForce Solid State Storage - Vision Solid State Storage in future Enterprise Compute Anything performance sensitive goes solid

More information

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Wei Wang 1, Tao Xie 2, Antoine Khoueir 3, Youngpil Kim 3 1 Computational Science Research Center, San Diego State University

More information

An LDPC-Enabled Flash Controller in 40 nm CMOS

An LDPC-Enabled Flash Controller in 40 nm CMOS An LDPC-Enabled Flash Controller in 40 nm CMOS Marvell Semiconductor Engling Yeo Santa Clara, CA 1 Outline Error correction requirements LDPC Codes ECC architecture SOC integration Conclusion Santa Clara,

More information

Error Analysis and Management for MLC NAND Flash Memory

Error Analysis and Management for MLC NAND Flash Memory Error Analysis and Management for MLC NAND Flash Memory Onur Mutlu onur@cmu.edu (joint work with Yu Cai, Gulay Yalcin, Eric Haratsch, Ken Mai, Adrian Cristal, Osman Unsal) August 7, 2014 Flash Memory Summit

More information

FlexECC: Partially Relaxing ECC of MLC SSD for Better Cache Performance

FlexECC: Partially Relaxing ECC of MLC SSD for Better Cache Performance FlexECC: Partially Relaxing ECC of MLC SSD for Better Cache Performance Ping Huang, Pradeep Subedi, Xubin He, Shuang He and Ke Zhou Department of Electrical and Computer Engineering, Virginia Commonwealth

More information

NAND Flash Architecture and Specification Trends

NAND Flash Architecture and Specification Trends NAND Flash Architecture and Specification Trends Michael Abraham (mabraham@micron.com) NAND Solutions Group Architect Micron Technology, Inc. August 2011 1 Topics NAND Flash trends SSD/Enterprise application

More information

REAL: A Retention Error Aware LDPC Decoding Scheme to Improve NAND Flash Read Performance

REAL: A Retention Error Aware LDPC Decoding Scheme to Improve NAND Flash Read Performance REAL: A Retention Error Aware LDPC Decoding Scheme to Improve NAND Flash Read Performance Meng Zhang, Fei Wu* Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology,

More information

1546 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 37, NO. 8, AUGUST 2018

1546 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 37, NO. 8, AUGUST 2018 1546 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 37, NO. 8, AUGUST 2018 DLV: Exploiting Device Level Latency Variations for Performance Improvement on Flash Memory

More information

Purity: building fast, highly-available enterprise flash storage from commodity components

Purity: building fast, highly-available enterprise flash storage from commodity components Purity: building fast, highly-available enterprise flash storage from commodity components J. Colgrove, J. Davis, J. Hayes, E. Miller, C. Sandvig, R. Sears, A. Tamches, N. Vachharajani, and F. Wang 0 Gala

More information

Could We Make SSDs Self-Healing?

Could We Make SSDs Self-Healing? Could We Make SSDs Self-Healing? Tong Zhang Electrical, Computer and Systems Engineering Department Rensselaer Polytechnic Institute Google/Bing: tong rpi Santa Clara, CA 1 Introduction and Motivation

More information

A New Erasure Pointer Generation Scheme for Storage Class Memory

A New Erasure Pointer Generation Scheme for Storage Class Memory A New Erasure Pointer Generation Scheme for Storage Class Memory Western Digital Mai Ghaly, Chandan Mishra, Majid Nemati, Aldo Cometi Santa Clara, CA August 2018 1 Topics Erasure Soft Erasure Concept for

More information

Case studies from the real world: The importance of measurement and analysis in system building and design

Case studies from the real world: The importance of measurement and analysis in system building and design Case studies from the real world: The importance of measurement and analysis in system building and design Bianca Schroeder University of Toronto Main interest: system reliability Why and how do systems

More information

islc Claiming the Middle Ground of the High-end Industrial SSD Market

islc Claiming the Middle Ground of the High-end Industrial SSD Market White Paper islc Claiming the Middle Ground of the High-end Industrial SSD Market Executive Summary islc is a NAND flash technology designed to optimize the balance between cost and performance. The firmware

More information

How does a Client SSD Controller Fit the Bill in Hyperscale Applications?

How does a Client SSD Controller Fit the Bill in Hyperscale Applications? How does a Client SSD Controller Fit the Bill in Hyperscale Applications? Phison Electronics Corp. Grace Chen SSD Project Manager grace_cy_chen@phison.com Flash Memory What can happen in 60 seconds? 2013

More information

3D NAND Assessment for Next Generation Flash Applications

3D NAND Assessment for Next Generation Flash Applications ** Patrick Breen, ** Tom Griffin, * Nikolaos Papandreou, * Thomas Parnell, ** Gary Tressler * IBM Research Zurich, Switzerland ** IBM Systems Poughkeepsie, NY, USA IBM Corporation August 2016 1 Agenda

More information

HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness

HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu Carnegie Mellon University

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

SSD (Solid State Disk)

SSD (Solid State Disk) SSD (Solid State Disk) http://en.wikipedia.org/wiki/solid-state_drive SSD (Solid State Disk) drives Most SSD drives gives very good performance 4x ~ 100x No noise, low weight, power and heat generation

More information

SSDs Driving Greater Efficiency in Data Centers

SSDs Driving Greater Efficiency in Data Centers SSDs Driving Greater Efficiency in Data Centers - Tutorial - June 23 rd, 2010 Tony Kim Samsung Semiconductor Inc. Table of Contents Part I: IT Industry Trend & SSD Market Outlook SSD Introduction & Application

More information

Error Control Coding for MLC Flash Memories

Error Control Coding for MLC Flash Memories Error Control Coding for MLC Flash Memories Ying Y. Tai, Ph.D. Cadence Design Systems, Inc. ytai@cadence.com August 19, 2010 Santa Clara, CA 1 Outline The Challenges on Error Control Coding (ECC) for MLC

More information

Developing Low Latency NVMe Systems for HyperscaleData Centers. Prepared by Engling Yeo Santa Clara, CA Date: 08/04/2017

Developing Low Latency NVMe Systems for HyperscaleData Centers. Prepared by Engling Yeo Santa Clara, CA Date: 08/04/2017 Developing Low Latency NVMe Systems for HyperscaleData Centers Prepared by Engling Yeo Santa Clara, CA 95054 Date: 08/04/2017 Quality of Service IOPS, Throughput, Latency Short predictable read latencies

More information

Flash Memory Reliability Model Based on Operations and Faults

Flash Memory Reliability Model Based on Operations and Faults Flash Memory Reliability Model Based on Operations and Faults April 18, 2011 Memory & Storage Architecture Lab. School of Computer Science & Engineering Seoul National University JiHyuck Yun (jhyun@archi.snu.ac.kr)

More information

COSC 6385 Computer Architecture - Memory Hierarchies (III)

COSC 6385 Computer Architecture - Memory Hierarchies (III) COSC 6385 Computer Architecture - Memory Hierarchies (III) Edgar Gabriel Spring 2014 Memory Technology Performance metrics Latency problems handled through caches Bandwidth main concern for main memory

More information

Transitioning from e-mmc to UFS: Controller Design. Kevin Liu ASolid Technology Co., Ltd.

Transitioning from e-mmc to UFS: Controller Design. Kevin Liu ASolid Technology Co., Ltd. Transitioning from e-mmc to UFS: Controller Design Kevin Liu ASolid Technology Co., Ltd. Flash Storage Summits 2 Agenda emmc vs. UFS Flash Trend & Challenges Key Requirements for Embedded Controller Design

More information

Increasing NAND Flash Endurance Using Refresh Techniques

Increasing NAND Flash Endurance Using Refresh Techniques Increasing NAND Flash Endurance Using Refresh Techniques Yu Cai 1, Gulay Yalcin 2, Onur Mutlu 1, Erich F. Haratsch 3, Adrian Cristal 2, Osman S. Unsal 2 and Ken Mai 1 DSSC, Carnegie Mellon University 1

More information

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu HeatWatch Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu Storage Technology Drivers

More information

Holistic Flash Management for Next Generation All-Flash Arrays

Holistic Flash Management for Next Generation All-Flash Arrays Holistic Flash Management for Next Generation All-Flash Arrays Roman Pletka, Nikolas Ioannou, Ioannis Koltsidas, Nikolaos Papandreou, Thomas Parnell, Haris Pozidis, Sasa Tomic IBM Research Zurich Aaron

More information

Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation

Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation YIXIN LUO, Carnegie Mellon University SAUGATA GHOSE, Carnegie Mellon University YU CAI, SK Hynix, Inc. ERICH

More information

arxiv: v2 [cs.ar] 5 Jan 2018

arxiv: v2 [cs.ar] 5 Jan 2018 1 Errors in Flash-Memory-Based Solid-State Drives: Analysis, Mitigation, and Recovery YU CAI, SAUGATA GHOSE Carnegie Mellon University arxiv:1711.11427v2 [cs.ar] 5 Jan 2018 ERICH F. HARATSCH Seagate Technology

More information

Access Characteristic Guided Read and Write Cost Regulation for Performance Improvement on Flash Memory

Access Characteristic Guided Read and Write Cost Regulation for Performance Improvement on Flash Memory Access Characteristic Guided Read and Write Cost Regulation for Performance Improvement on Flash Memory Qiao Li, Liang Shi, Chun Jason Xue Kaijie Wu, Cheng Ji, Qingfeng Zhuge, and Edwin H. M. Sha College

More information

Embedded SSD Product Challenges and Test Mitigation

Embedded SSD Product Challenges and Test Mitigation Embedded SSD Product Challenges and Test Mitigation Flash Memory Summit, 2015 ATP Electronics, Inc. August 2015 1 Overview Embedded SSD Product Challenges The Factor of Industry Focus & Validation Challenges

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

Technical Notes. Considerations for Choosing SLC versus MLC Flash P/N REV A01. January 27, 2012

Technical Notes. Considerations for Choosing SLC versus MLC Flash P/N REV A01. January 27, 2012 Considerations for Choosing SLC versus MLC Flash Technical Notes P/N 300-013-740 REV A01 January 27, 2012 This technical notes document contains information on these topics:...2 Appendix A: MLC vs SLC...6

More information

Enabling Accurate and Practical Online Flash Channel Modeling for Modern MLC NAND Flash Memory

Enabling Accurate and Practical Online Flash Channel Modeling for Modern MLC NAND Flash Memory 1 Enabling Accurate and Practical Online Flash Channel Modeling for Modern MLC NAND Flash Memory Yixin Luo, Saugata Ghose, Yu Cai, Erich F Haratsch, Onur Mutlu Abstract NAND flash memory is a widely-used

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

Design Tradeoffs for SSD Reliability

Design Tradeoffs for SSD Reliability Design Tradeoffs for SSD Reliability Bryan S. Kim, Seoul National University; Jongmoo Choi, Dankook University; Sang Lyul Min, Seoul National University https://www.usenix.org/conference/fast9/presentation/kim-bryan

More information

D E N A L I S T O R A G E I N T E R F A C E. Laura Caulfield Senior Software Engineer. Arie van der Hoeven Principal Program Manager

D E N A L I S T O R A G E I N T E R F A C E. Laura Caulfield Senior Software Engineer. Arie van der Hoeven Principal Program Manager 1 T HE D E N A L I N E X T - G E N E R A T I O N H I G H - D E N S I T Y S T O R A G E I N T E R F A C E Laura Caulfield Senior Software Engineer Arie van der Hoeven Principal Program Manager Outline Technology

More information

3SE4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3SE4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3SE4 Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents msata 3SE4 LIST OF FIGURES... 6 1. PRODUCT OVERVIEW...

More information

Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND Flash Memory-Based Sensor Systems

Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND Flash Memory-Based Sensor Systems Sensors 214, 14, 18851-18877; doi:1.339/s14118851 Article OPEN ACCESS sensors ISSN 1424-822 www.mdpi.com/journal/sensors Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND

More information

Secure data storage -

Secure data storage - Secure data storage - NAND Flash technologies and controller mechanisms Ricky Gremmelmaier Head of Business Development Embedded Computing Rutronik at a Glance Founded in 1973 / 2016 Revenue: 872 Mio Headquartered

More information

Designing Enterprise Controllers with QLC 3D NAND

Designing Enterprise Controllers with QLC 3D NAND Designing Enterprise Controllers with QLC 3D NAND Roman Pletka, Radu Stoica, Nikolas Ioannou, Sasa Tomic, Nikolaos Papandreou, Haralampos Pozidis IBM Research Zurich Research Laboratory Santa Clara, CA

More information

High-Speed NAND Flash

High-Speed NAND Flash High-Speed NAND Flash Design Considerations to Maximize Performance Presented by: Robert Pierce Sr. Director, NAND Flash Denali Software, Inc. History of NAND Bandwidth Trend MB/s 20 60 80 100 200 The

More information

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Carnegie Mellon University Research Showcase @ CMU Department of Electrical and Computer Engineering Carnegie Institute of Technology 6-2015 Read Disturb Errors in MLC NAND Flash Memory: Characterization,

More information

Presented by: Nafiseh Mahmoudi Spring 2017

Presented by: Nafiseh Mahmoudi Spring 2017 Presented by: Nafiseh Mahmoudi Spring 2017 Authors: Publication: Type: ACM Transactions on Storage (TOS), 2016 Research Paper 2 High speed data processing demands high storage I/O performance. Flash memory

More information

Improved Error Correction Capability in Flash Memory using Input / Output Pins

Improved Error Correction Capability in Flash Memory using Input / Output Pins Improved Error Correction Capability in Flash Memory using Input / Output Pins A M Kiran PG Scholar/ Department of ECE Karpagam University,Coimbatore kirthece@rediffmail.com J Shafiq Mansoor Assistant

More information

Flash Reliability in Produc4on: The Importance of Measurement and Analysis in Improving System Reliability

Flash Reliability in Produc4on: The Importance of Measurement and Analysis in Improving System Reliability Flash Reliability in Produc4on: The Importance of Measurement and Analysis in Improving System Reliability Bianca Schroeder University of Toronto (Currently on sabbatical at Microsoft Research Redmond)

More information

Cervoz Industrial Embedded Module

Cervoz Industrial Embedded Module Cervoz Industrial Embedded Module msata Momentum Series (MLC) M350 Family Product Datasheet Date: 2017.03.27 Revision: 1.1 File: Cervoz_Industrial_Embedded_Module_mSATA_M350_Datasheet_Rev 1.1 Revision

More information

Industrial nanousb. SLC series. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver.

Industrial nanousb. SLC series. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver. SLC series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents REVISION HISTORY... 3 1. Introduction... 6 2. Features...

More information

GLS89SP032G3/064G3/128G3/256G3/512G3/001T3 Industrial Temp 2.5 SATA ArmourDrive PX Series

GLS89SP032G3/064G3/128G3/256G3/512G3/001T3 Industrial Temp 2.5 SATA ArmourDrive PX Series Features Industry Standard 2.5 SATA Host Interface - SATA 1.5Gb/s, 3Gb/s and 6Gb/s - SATA Revision 3.2 - ATA/ATAPI-8 compliant - Supports 48-bit address feature set Performance - Sequential data read:

More information

Differential RAID: Rethinking RAID for SSD Reliability

Differential RAID: Rethinking RAID for SSD Reliability Differential RAID: Rethinking RAID for SSD Reliability Mahesh Balakrishnan Asim Kadav 1, Vijayan Prabhakaran, Dahlia Malkhi Microsoft Research Silicon Valley 1 The University of Wisconsin-Madison Solid

More information

Data Organization and Processing

Data Organization and Processing Data Organization and Processing Indexing Techniques for Solid State Drives (NDBI007) David Hoksza http://siret.ms.mff.cuni.cz/hoksza Outline SSD technology overview Motivation for standard algorithms

More information

dr. Jan Peter van Zandwijk

dr. Jan Peter van Zandwijk Bit-errors as a source of forensic information in NAND-flash dr. Jan Peter van Zandwijk j.p.van.zandwijk@nfi.minvenj.nl Introduction (1) NAND-flash is most popular medium for non-volatile data-storage

More information

Cervoz Industrial Embedded Module

Cervoz Industrial Embedded Module Cervoz Industrial Embedded Module M.2 2280 Momentum Series (MLC) M350 Family Product Datasheet Date: 2017.03.27 Revision: 1.0 File: Cervoz_Industrial_Embedded_Module_M.2_2280_M350_Datasheet_Rev1.0 Revision

More information

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Xuebin Zhang, Jiangpeng Li, Hao Wang, Kai Zhao and Tong Zhang xuebinzhang.rpi@gmail.com ECSE Department,

More information

Cervoz Industrial SSD

Cervoz Industrial SSD Cervoz Industrial SSD 2.5 SATA Momentum Series (MLC) M350 Family Product Datasheet Date: 2017.09.28 Revision: 1.4 File: Cervoz_Industrial_SSD_2.5 _SATA_M350_Datasheet_Rev 1.4 Revision History Date Revision

More information

Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives

Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives This paper reviews the most recent advances in solid-state drive (SSD) error characterization, mitigation, and

More information

A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks

A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks Jinho Seol, Hyotaek Shim, Jaegeuk Kim, and Seungryoul Maeng Division of Computer Science School of Electrical Engineering

More information

This work was supported in part by a Caltech CI2 grant /16/$ IEEE 6C-6-1

This work was supported in part by a Caltech CI2 grant /16/$ IEEE 6C-6-1 Data Archiving in 1x-nm NAND Flash Memories: Enabling Long-Term Storage using Rank Modulation and Scrubbing Yue Li,EyalEnGad, Anxiao (Andrew) Jiang, and Jehoshua Bruck Department of Electrical Engineering,

More information

How Good Is Your Memory? An Architect s Look Inside SSDs

How Good Is Your Memory? An Architect s Look Inside SSDs How Good Is Your Memory? An Architect s Look Inside SSDs Michael Abraham (mabraham@micron.com) Business Line Manager Micron Technology, Inc. August 2015 1 Early Storage Optimizations µc NAND Camera SmartMedia

More information

Using MLC Flash to Reduce System Cost in Industrial Applications

Using MLC Flash to Reduce System Cost in Industrial Applications Using MLC Flash to Reduce System Cost in Industrial Applications Chris Budd SMART High Reliability Solutions Santa Clara, CA 1 Introduction Component selection: cost versus quality Use same component to

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks. Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo

A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks. Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo 1 June 4, 2011 2 Outline Introduction System Architecture A Multi-Chipped

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

1110 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 7, JULY 2014

1110 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 7, JULY 2014 1110 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 7, JULY 2014 Adaptive Paired Page Prebackup Scheme for MLC NAND Flash Memory Jaeil Lee and Dongkun Shin,

More information

Designing SSDs for large scale cloud workloads FLASH MEMORY SUMMIT, AUG 2014

Designing SSDs for large scale cloud workloads FLASH MEMORY SUMMIT, AUG 2014 Designing SSDs for large scale cloud workloads FLASH MEMORY SUMMIT, AUG 2014 2 3 Cloud workloads are different! Examples: Read-mostly, write-once per day Sequential write streams for object stores Synchronous

More information

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Carnegie Mellon University Research Showcase @ CMU Department of Electrical and Computer Engineering Carnegie Institute of Technology 2-2015 Data Retention in MLC NAND Flash Memory: Characterization, Optimization,

More information

2.5-Inch SATA SSD PSSDS27Txxx6

2.5-Inch SATA SSD PSSDS27Txxx6 DMS Celerity 2.5 SSD Datasheet 2.5-Inch SATA SSD PSSDS27Txxx6 Features: SATA 3.1 Compliant, SATA 6.0Gb/s with 3Gb/s and 1.5Gb/s support ATA modes supported PIO modes 3 and 4 Multiword DMA modes 0, 1, 2

More information

Cervoz Industrial SSD

Cervoz Industrial SSD Cervoz Industrial SSD 2.5 SATA Supreme Series (SLC) S310 Family Product Datasheet Date: 2015.01.05 Revision: 1.0 Revision History Date Revision Description 2015.01.05 1.0 First Released Table of Contents

More information

Architectural Techniques for Improving NAND Flash Memory Reliability. Yixin Luo

Architectural Techniques for Improving NAND Flash Memory Reliability. Yixin Luo Architectural Techniques for Improving NAND Flash Memory Reliability Yixin Luo CMU-CS-18-101 March 2018 School of Computer Science Carnegie Mellon University Pittsburgh, PA 15213 Thesis Committee Onur

More information

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles How to Extend 2D-TLC Endurance to 3,000 P/E Cycles Federico M. Benelli CTO, NandExt Santa Clara, CA 1 Outline TLC Market TLC challenges TLC 10 Technology Platform: Best In Between (BIB) Page-based CLAP-LDPC

More information

3ME4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3ME4 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3ME4 Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents msata 3ME4 LIST OF FIGURES... 6 1. PRODUCT OVERVIEW...

More information

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Cristian Zambelli, Lorenzo Zuolo*, Piero Olivo, Luca Crippa*, Alessia Marelli * and Rino Micheloni* Università degli Studi di Ferrara,

More information

2TB DATA SHEET Preliminary

2TB DATA SHEET Preliminary 2TB DATA SHEET Preliminary Features: SATA 3.1 Compliant, - SATA 6.0Gb/s with 3Gb/s and 1.5Gb/s support ATA modes supported - PIO modes 3 and 4 - Multiword DMA modes 0, 1, 2 - Ultra DMA modes 0, 1, 2, 3,

More information

6. Results. This section describes the performance that was achieved using the RAMA file system.

6. Results. This section describes the performance that was achieved using the RAMA file system. 6. Results This section describes the performance that was achieved using the RAMA file system. The resulting numbers represent actual file data bytes transferred to/from server disks per second, excluding

More information