NAND Flash: Where we are, where are we going?

Size: px
Start display at page:

Download "NAND Flash: Where we are, where are we going?"

Transcription

1 NAND Flash: Where we are, where are we going? Pranav Kalavade Intel Corporation

2 Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary

3 Cell Size [um 2 ] NAND Scaling Trend 70nm 1E+0 2D NAND Cell Size Scaling 1E-1 1E-2 25nm 1E-3 1E-4 '00 '05 '10 '15 '20 Year 2D NAND scaling has slowed down 20nm

4 Cells Vt distribution width [a.u.] 2D NAND Scaling Limiters Lithography Limitations Small Cell Area Effects: Number Fluctuation Proximity Effects: Cell to Cell interference High Electric Field Effects Vt distribution width Vt Cell feature size [nm]

5 Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary

6 3D NAND - Scaling Through Stacking Vertical channel 3D NAND Horizontal channel 3D NAND Vertical String vs. Horizontal String Vertical string more attractive electrically Horizontal string more attractive for cell size

7 3D NAND Advantage Cells ~1X nm 2D NAND 3D NAND Eliminates lithography constraint Larger cell size and cell to cell spacing Less parasitic effects and tighter threshold voltage distributions Vt

8 3D NAND Floating Gate vs Charge Trap Floating Gate 3D NAND Charge Trap 3D NAND Discrete Charge Storage Node Continuous Charge Storage Node Floating Gate Good Program/Erase Vt window and Charge isolation between cells Charge Trap Charge dispersion between cells & Need for Metal Gate process

9 Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary

10 3D FG NAND Cell Formation (a) Tier deposition (d) IPD formation (g) Tunnel-oxide and channel formation (b) Cell hole etch (e) FG deposition (h) WL Step formation for contacting (c) Recess Formation (f) FG isolation

11 3D FG NAND Technology Metal Layer Contact/Bitline SGD Wordlines (32 Active) SGS Source CMOS Circuits

12 Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary

13 CMOS Under Array 3D NAND String is formed fully above the silicon. Enables silicon area under for CMOS circuitry 2 Metal Layers below array for CMOS connections 2 Metal layers above the array for Bitline and Bussing

14 Outline Introduction 3D NAND Floating Gate 3D NAND Technology CMOS Under Array Cell Characteristics Summary

15 Key Cell Characteristics Cell Id-Vg Characteristics Erase Operation Program/Erase Vt Program Disturb Cell Vt distributions Cell to Cell Interference

16 Current [A] Current [Norm] Cell Id-Vg Characteristics 1E-06 1E E-10 1E-12 Vds = 0.5V WL Voltage [V] Surround gate structure of 3D NAND provides for good gate control 3D NAND String on-current matches that of 2D NAND D NAND 20nm 2D NAND WL Voltage [V]

17 Wordlines GIDL Current [A] Erase Operation 1E-08 1E-10 SGS N+ Source Vg Vs 1E-12 1E-14 V WL Vs =0V Vbl = -2V Vgs [V] Erase bias applied to the Source Body biased up by the SGS GIDL

18 Cell Vt [V] Program/Erase Characteristics Program Erase Program/Erase Voltage Delta [V] >10V P/E Window >10V Cell Program/Erase Vt Window is achieved

19 Cell Vt [V] Program Disturb >10V Disturb -1.0 Window -1.5 Achieved -2.0 >10V Sel Cell Inh Cell Gate Voltage [V]

20 Arbitrary Units # Channel Area # of Elec/100mV DVt Vt Distributions 1E+4 1E+3 90nm 70nm 50nm 34nm 3D 25nm 20nm nm 70nm 3D NAND 50nm 34nm 25nm 20nm 1E+2 Technology Node Larger physical cell size of 3D NAND improves Vt distributions Technology Node Natural Vt Distribution Number fluctuation 2D 20nm 3D

21 Cell to Cell Interference e e e e e e e e e e 2D NAND Better shielding from the control gate in the 3D NAND reduces interference by ~80% e e e e 3D NAND Net Interference (A.U.) 2D 20nm 3D 1 2

22 Number of Cells Vt distribution width [a.u.] MLC Vt Distribution Width D 0.5X Vt Distribution [A.U.] 2D 20nm Better intrinsic distribution and lower interference leads to an overall tighter Vt distribution for 3D NAND D NAND Eff Cell feature size [nm]

23 Outline Introduction 3D NAND Floating Gate 3D NAND Technology Cell Characteristics CMOS Under Array Summary

24 SLC MLC TLC QLC? SLC: 2 Levels => 1 bit/cell MLC: 4 Levels => 2 bit/cell RD 1 0 R1 R2 R3 Vt EV 0 PV Vpass_R TLC: 8 Levels => 3 bit/cell 0 EV PV1 PV2 PV3 Vt R1 R2 R3 R4 R5 R6 R7 EV PV1 PV2 PV3 PV4 PV5 PV6 PV7 Vpass_R Vt QLC: 16 Levels => 4 bit/cell R1 R4 R5 R6 R7 EV Vpass_ PV1PV2PV3PV4PV5PV6PV7PV1PV2PV3PV4PV5PV6PV7PV7 Vt

25 Outline Introduction 3D NAND Floating Gate 3D NAND Technology Cell Characteristics CMOS Under Array Summary

26 Summary 3D NAND extends NAND scaling with cell characteristics superior to that of scaled 2D NAND More bits / cell accelerates the scaling: facilitated by superior characteristics of 3D NAND

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation

NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook. Pranav Kalavade Intel Corporation NAND Flash Memory: Basics, Key Scaling Challenges and Future Outlook Pranav Kalavade Intel Corporation pranav.kalavade@intel.com October 2012 Outline Flash Memory Product Trends Flash Memory Device Primer

More information

NAND Controller Reliability Challenges

NAND Controller Reliability Challenges NAND Controller Reliability Challenges Hanan Weingarten February 27, 28 28 Toshiba Memory America, Inc. Agenda Introduction to NAND and 3D technology Reliability challenges Summary 28 Toshiba Memory America,

More information

NAND Flash Basics & Error Characteristics

NAND Flash Basics & Error Characteristics NAND Flash Basics & Error Characteristics Why Do We Need Smart Controllers? Thomas Parnell, Roman Pletka IBM Research - Zurich Santa Clara, CA 1 Agenda Part I. NAND Flash Basics Device Architecture (2D

More information

Investigation about practical design techniques to mitigate Vt variability in NAND FLASH memories

Investigation about practical design techniques to mitigate Vt variability in NAND FLASH memories Investigation about practical design techniques to mitigate Vt variability in NAND FLASH memories Author: Ing. Carlizza Lorenzo 2 nd level master in nano and micro electronics technologies TUTOR: Ing.

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

Flash Memory. 2D NAND vs. 3D NAND. White Paper F-WP002

Flash Memory. 2D NAND vs. 3D NAND. White Paper F-WP002 Flash Memory 2D NAND vs. 3D NAND White Paper F-WP002 Corporate Headquarters: 39870 Eureka Dr., Newark, CA 94560, USA Tel: (510) 623-1231 Fax: (510) 623-1434 E-mail: info@smartm.com Customer Service: Tel:

More information

Embedded 28-nm Charge-Trap NVM Technology

Embedded 28-nm Charge-Trap NVM Technology Embedded 28-nm Charge-Trap NVM Technology Igor Kouznetsov Santa Clara, CA 1 Outline Embedded NVM applications Charge-trap NVM at Cypress Scaling Key Flash macro specs 28-nm Flash memory reliability Conclusions

More information

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory

Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Samsung K9GAG08U0M-PCB0 16 Gbit Multi-Level Cell (MLC) 51 nm Process Technology NAND Flash Memory Structural Analysis with Additional Layout Feature Analysis For comments, questions, or more information

More information

Advanced Information Storage 11

Advanced Information Storage 11 Advanced Information Storage 11 Atsufumi Hirohata Department of Electronics 16:00 11/November/2013 Monday (P/L 002) Quick Review over the Last Lecture Shingled write recording : * Bit patterned media (BPM)

More information

SLC vs MLC: Considering the Most Optimal Storage Capacity

SLC vs MLC: Considering the Most Optimal Storage Capacity White Paper SLC vs MLC: Considering the Most Optimal Storage Capacity SLC vs MLC: Considering the Most Optimal Storage Capacity P. 1 Introduction Proficiency should be a priority for the storage in computers.

More information

SLC vs. MLC: An Analysis of Flash Memory

SLC vs. MLC: An Analysis of Flash Memory SLC vs. MLC: An Analysis of Flash Memory Examining the Quality of Memory: Understanding the Differences between Flash Grades Table of Contents Abstract... 3 Introduction... 4 Flash Memory Explained...

More information

Embedded Memory Alternatives

Embedded Memory Alternatives EE241 - Spring 2005 Advanced Digital Integrated Circuits Lecture 26: Embedded Memory - Flash Slides Courtesy of Randy McKee, TI Embedded Memory Alternatives Courtesy Randy McKee, TI 2 1 3 4 2 5 SRAM 3

More information

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect

Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge Fringing Field Effect JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.537 Programming Characteristics on Three-Dimensional NAND Flash Structure Using Edge

More information

3D NAND Assessment for Next Generation Flash Applications

3D NAND Assessment for Next Generation Flash Applications ** Patrick Breen, ** Tom Griffin, * Nikolaos Papandreou, * Thomas Parnell, ** Gary Tressler * IBM Research Zurich, Switzerland ** IBM Systems Poughkeepsie, NY, USA IBM Corporation August 2016 1 Agenda

More information

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement

Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Advanced Flash Technology Status, Scaling Trends & Implications to Enterprise SSD Technology Enablement Jung H. Yoon & Gary A. Tressler IBM Corporation Aug 21, 2012 Santa Clara, CA 1 Outline Si Technology

More information

Flash ( p.531)

Flash ( p.531) Introduction to CMOS VLSI Design Flash (12.4.3.531) J. J. Nahas and P. M. Kogge Modified from slides by Jay Brockman 2008, 2015,2018 [Including slides from Harris & Weste, Ed 4, Adated from Mary Jane Irwin

More information

Embedded System Application

Embedded System Application Laboratory Embedded System Application 4190.303C 2010 Spring Semester ROMs, Non-volatile and Flash Memories ELPL Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr Revisit Previous

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation

Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation Improving 3D NAND Flash Memory Lifetime by Tolerating Early Retention Loss and Process Variation YIXIN LUO, Carnegie Mellon University SAUGATA GHOSE, Carnegie Mellon University YU CAI, SK Hynix, Inc. ERICH

More information

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Yu Cai, Yixin Luo, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *LSI Corporation 1 Many use

More information

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu

HeatWatch Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu HeatWatch Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu Storage Technology Drivers

More information

Self-Adaptive NAND Flash DSP

Self-Adaptive NAND Flash DSP Self-Adaptive NAND Flash DSP Wei Xu 2018/8/9 Outline NAND Flash Data Error Recovery Challenges of NAND Flash Data Integrity A Self-Adaptive DSP Technology to Improve NAND Flash Memory Data Integrity 6

More information

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques

Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Vulnerabilities in MLC NAND Flash Memory Programming: Experimental Analysis, Exploits, and Mitigation Techniques Yu Cai, Saugata Ghose, Yixin Luo, Ken Mai, Onur Mutlu, Erich F. Haratsch February 6, 2017

More information

Flash memory talk Felton Linux Group 27 August 2016 Jim Warner

Flash memory talk Felton Linux Group 27 August 2016 Jim Warner Flash memory talk Felton Linux Group 27 August 2016 Jim Warner Flash Memory Summit Annual trade show at Santa Clara Convention Center Where there is money, trade shows follow. August 8 11, 2016 Borrowing

More information

FLASH DATA RETENTION

FLASH DATA RETENTION FLASH DATA RETENTION Document #AN0011 Viking Rev. B Purpose of this Document This application note was prepared to help OEM system designers evaluate the performance of Viking solid state drive solutions

More information

Performance & Reliability Driven Memory solution. MacronixInternational Co., Ltd.

Performance & Reliability Driven Memory solution. MacronixInternational Co., Ltd. Performance & Reliability Driven Memory solution MacronixInternational Co., Ltd. About Macronix A Leading Non-Volatile Memory Solutions Provider Founded in 1989 Headquarters Hsin-Chu, Taiwan Total5280

More information

WHITE PAPER. Title What kind of NAND flash memory is used for each product? ~~~ Which is suitable SD card from reliability point of view?

WHITE PAPER. Title What kind of NAND flash memory is used for each product? ~~~ Which is suitable SD card from reliability point of view? Panasonic SD memory card White Paper Number : 002 Issue Date : 25-March-2015 Rev : 1.00 Title What kind of NAND flash memory is used for each product? ~~~ Which is suitable SD card from reliability point

More information

Alternative Erase Verify : The Optimization for Longer Data Retention of NAND FLASH Memory

Alternative Erase Verify : The Optimization for Longer Data Retention of NAND FLASH Memory , pp.137-146 http//dx.doi.org/10.14257/ijca.2017.10.2.12 Alternative Erase Verify : The Optimization for Longer Data Retention of NAND FLASH Memory Juwan Seo 1, Namgi Kim 2 and Min Choi* 1 1 Dept. of Information

More information

Flash type comparison for SLC/MLC/TLC and Advantech s Ultra MLC technology

Flash type comparison for SLC/MLC/TLC and Advantech s Ultra MLC technology Flash type comparison for SLC/MLC/TLC and Advantech s Ultra MLC technology Author: Andy Chen, Product Engineer, Advantech Corporation Introduction Flash memory is a non-volatile storage element that can

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

The impact of 3D storage solutions on the next generation of memory systems

The impact of 3D storage solutions on the next generation of memory systems The impact of 3D storage solutions on the next generation of memory systems DevelopEX 2017 Airport City Israel Avi Klein Engineering Fellow, Memory Technology Group Western Digital Corp October 31, 2017

More information

The Many Flavors of NAND and More to Come

The Many Flavors of NAND and More to Come The Many Flavors of NAND and More to Come Brian Shirley VP Micron Memory Product Group 1 NAND Market Growth Drivers Top 10 Applications by Units Shipped 4000 # of Units per Application 3500 Millions of

More information

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab

Flash Memories. Ramin Roosta Dept. of Computer Engineering. EE 595 EDA / ASIC Design Lab Flash Memories Ramin Roosta Dept. of Computer Engineering EE 595 EDA / ASIC Design Lab Content Non-volatile memories Flash applications Industry standards Architectures Main reliability issues New cells

More information

Flash TOSHIBA TOSHIBA

Flash TOSHIBA TOSHIBA Flash VOLATILE Mobile Application Low Power SDRAM Pseudo SRAM High Speed Application embedded edram PLEDM FBC memory Low Power Low Power SRAM QDR SRAM DDR SRAM Sigma RAM FeRAM High Speed MRAM OUM Universal

More information

ECC Approach for Correcting Errors Not Handled by RAID Recovery

ECC Approach for Correcting Errors Not Handled by RAID Recovery ECC Approach for Correcting Errors Not Handled by RAID Recovery Jeff Yang Siliconmotion Flash Memory Summit 27 Note: All the material are the concept proof and simulation.s It is not the real Siliconmotion

More information

High-Efficiency SSD for Reliable Data Storage Systems

High-Efficiency SSD for Reliable Data Storage Systems High-Efficiency SSD for Reliable Data Storage Systems -Improving endurance and data reliability of next generation flash in embedded applications Jeff Yang Principle engineer Silicon Motion, Inc. jeff.yang@siliconmotion.com

More information

When it comes to double-density Flash memory, some pairs are just better.

When it comes to double-density Flash memory, some pairs are just better. MirrorBit Flash When it comes to double-density Flash memory, some pairs are just better. AMD pairs high-performance with reliability in a single Flash memory cell, with revolutionary results. Introducing

More information

Read Architectures for Multi-bit per cell NAND Flash Memories Report No. FI-NFL-RAN-0909

Read Architectures for Multi-bit per cell NAND Flash Memories Report No. FI-NFL-RAN-0909 Read Architectures for Multi-bit per cell NAND Flash Memories Report No. FI-NFL-RAN-0909 By: Luca Crippa September 2009 2009 Forward Insights. All Rights Reserved. Reproduction and distribution of this

More information

Reliability of NAND Flash Memories: Planar Cells and Emerging Issues in 3D Devices

Reliability of NAND Flash Memories: Planar Cells and Emerging Issues in 3D Devices computers Review Reliability of NAND Flash Memories: Planar Cells and Emerging Issues in 3D Devices Alessandro S. Spinelli *, Christian Monzio Compagnoni and Andrea L. Lacaita Dipartimento di Elettronica,

More information

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory

Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.260 ISSN(Online) 2233-4866 Process Variation on Arch-structured Gate

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

High Performance and Highly Reliable SSD

High Performance and Highly Reliable SSD High Performance and Highly Reliable SSD -Proposal of the Fastest Storage with B4-Flash - Moriyoshi Nakashima GENUSION,Inc http://www.genusion.co.jp/ info@genusion.co.jp Santa Clara, CA 1 Big Data comes

More information

islc Claiming the Middle Ground of the High-end Industrial SSD Market

islc Claiming the Middle Ground of the High-end Industrial SSD Market White Paper islc Claiming the Middle Ground of the High-end Industrial SSD Market Executive Summary islc is a NAND flash technology designed to optimize the balance between cost and performance. The firmware

More information

How Much Can Data Compressibility Help to Improve NAND Flash Memory Lifetime?

How Much Can Data Compressibility Help to Improve NAND Flash Memory Lifetime? How Much Can Data Compressibility Help to Improve NAND Flash Memory Lifetime? Jiangpeng Li *, Kai Zhao *, Xuebin Zhang *, Jun Ma, Ming Zhao, and Tong Zhang * * Rensselaer Polytechnic Institute Shanghai

More information

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc.

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc. Content courtesy of Wikipedia.org David Harrison, CEO/Design Engineer for Model Sounds Inc. Common FLASH Memory SD cards + mini, micro versions serial interface slower Compact Flash - parallel interface

More information

Ultra MLC Technology Introduction

Ultra MLC Technology Introduction October 20th, 2014 Version 1.5 Ultra MLC Technology Introduction Author: Ethan Chen/ Precyan Lee E-mail: ethan.chen@advantech.com.tw; precyan.lee@advantech.com.tw October 20th, 2014 Version 1.5 Table of

More information

Technical Notes. Considerations for Choosing SLC versus MLC Flash P/N REV A01. January 27, 2012

Technical Notes. Considerations for Choosing SLC versus MLC Flash P/N REV A01. January 27, 2012 Considerations for Choosing SLC versus MLC Flash Technical Notes P/N 300-013-740 REV A01 January 27, 2012 This technical notes document contains information on these topics:...2 Appendix A: MLC vs SLC...6

More information

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology

ELE 455/555 Computer System Engineering. Section 1 Review and Foundations Class 3 Technology ELE 455/555 Computer System Engineering Section 1 Review and Foundations Class 3 MOSFETs MOSFET Terminology Metal Oxide Semiconductor Field Effect Transistor 4 terminal device Source, Gate, Drain, Body

More information

Read Disturb Characterization for Next-Generation Flash Systems

Read Disturb Characterization for Next-Generation Flash Systems Gary Tressler, Tom Griffin and Patrick Breen IBM Corporation August 2015 1 Agenda Industry Trend Background Mixed Mode Analysis Influence of Environment / Flash Access Mode Read Disturb Tolerance vs. Technology

More information

CS311 Lecture 21: SRAM/DRAM/FLASH

CS311 Lecture 21: SRAM/DRAM/FLASH S 14 L21-1 2014 CS311 Lecture 21: SRAM/DRAM/FLASH DARM part based on ISCA 2002 tutorial DRAM: Architectures, Interfaces, and Systems by Bruce Jacob and David Wang Jangwoo Kim (POSTECH) Thomas Wenisch (University

More information

Deep Sub-Micron Cache Design

Deep Sub-Micron Cache Design Cache Design Challenges in Deep Sub-Micron Process Technologies L2 COE Carl Dietz May 25, 2007 Deep Sub-Micron Cache Design Agenda Bitcell Design Array Design SOI Considerations Surviving in the corporate

More information

Addressing Fast-Detrapping for Reliable 3D NAND. Flash Design

Addressing Fast-Detrapping for Reliable 3D NAND. Flash Design Addressing Fast-Detrapping for Reliable 3D NAND Flash Design Mustafa M. Shihab - The University of Texas at Dallas Jie Zhang - Yonsei University Myoungsoo Jung - KAIST Mahmut Kandemir - Pennsylvania State

More information

Solid-State Drive System Optimizations In Data Center Applications

Solid-State Drive System Optimizations In Data Center Applications Solid-State Drive System Optimizations In Data Center Applications Tahmid Rahman Senior Technical Marketing Engineer Non Volatile Memory Solutions Group Intel Corporation Flash Memory Summit 2011 Santa

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness

HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness HeatWatch: Improving 3D NAND Flash Memory Device Reliability by Exploiting Self-Recovery and Temperature Awareness Yixin Luo Saugata Ghose Yu Cai Erich F. Haratsch Onur Mutlu Carnegie Mellon University

More information

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Yu Cai, Yixin Luo, Saugata Ghose, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *Seagate Technology

More information

From Silicon to Solutions: Getting the Right Memory Mix for the Application

From Silicon to Solutions: Getting the Right Memory Mix for the Application From Silicon to Solutions: Getting the Right Memory Mix for the Application Ed Doller Numonyx CTO Flash Memory Summit 2008 Legal Notices and Important Information Regarding this Presentation Numonyx may

More information

3D NAND - Data Recovery and Erasure Verification

3D NAND - Data Recovery and Erasure Verification 3D NAND - Data Recovery and Erasure Verification Robin England Hardware Research & Development Team Lead Santa Clara, CA The Causes of SSD Data Loss What can go wrong? Logical Damage Data accidentally

More information

NAND FLASH ARRAY TECHNICAL BRIEFING AUTHOR MIKE MCKEAN VERSION 001/APRIL/19/2015

NAND FLASH ARRAY TECHNICAL BRIEFING AUTHOR MIKE MCKEAN VERSION 001/APRIL/19/2015 NAND FLASH ARRAY TECHNICAL BRIEFING AUTHOR MIKE MCKEAN VERSION 001/APRIL/19/2015 EMAIL BLOG LINKEDIN mmckean917@gmail.com https://mmckean917.wordpress.com/ https://uk.linkedin.com/pub/mike-mckean/43/a77/991

More information

WHAT HAPPENS WHEN THE FLASH INDUSTRY GOES TO TLC? Luanne M. Dauber, Pure Storage

WHAT HAPPENS WHEN THE FLASH INDUSTRY GOES TO TLC? Luanne M. Dauber, Pure Storage WHAT HAPPENS WHEN THE FLASH INDUSTRY GOES TO TLC? Luanne M. Dauber, Pure Storage SNIA Legal Notice The material contained in this tutorial is copyrighted by the SNIA unless otherwise noted. Member companies

More information

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories

Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Uniform and Concentrated Read Disturb Effects in TLC NAND Flash Memories Cristian Zambelli, Lorenzo Zuolo*, Piero Olivo, Luca Crippa*, Alessia Marelli * and Rino Micheloni* Università degli Studi di Ferrara,

More information

Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices. Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu

Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices. Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu Improving the Reliability of Chip-Off Forensic Analysis of NAND Flash Memory Devices Aya Fukami, Saugata Ghose, Yixin Luo, Yu Cai, Onur Mutlu 1 Example Target Devices for Chip-Off Analysis Fire damaged

More information

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles

How to Extend 2D-TLC Endurance to 3,000 P/E Cycles How to Extend 2D-TLC Endurance to 3,000 P/E Cycles Federico M. Benelli CTO, NandExt Santa Clara, CA 1 Outline TLC Market TLC challenges TLC 10 Technology Platform: Best In Between (BIB) Page-based CLAP-LDPC

More information

Recent Development and Progress in Nonvolatile Memory for Embedded Market

Recent Development and Progress in Nonvolatile Memory for Embedded Market Recent Development and Progress in Nonvolatile Memory for Embedded Market Saied Tehrani, Ph.D. Chief Technology Officer, Spansion Inc. July 11, 2012 1 Outline Market Trend for Nonvolatile Memory NOR Flash

More information

GANGRENE: Exploring the Mortality of Flash Memory

GANGRENE: Exploring the Mortality of Flash Memory GANGRENE: Exploring the Mortality of Flash Memory Naval Surface Warfare Center, Crane Division Indiana University Apu Kapadia Indiana University Flash memory is ubiquitous http://www.flickr.com/photos/sucello/6220857499/

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) ΗΜΥ 408 ΨΗΦΙΑΚΟΣ ΣΧΕΔΙΑΣΜΟΣ ΜΕ FPGAs Χειμερινό Εξάμηνο 2018 ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates) (ack: Jurriaan Schmitz, Semiconductor Components) ΧΑΡΗΣ

More information

WAFER PROBE AND PACKAGE TEST FAILURE ANALYSIS

WAFER PROBE AND PACKAGE TEST FAILURE ANALYSIS Clemson University TigerPrints All Theses Theses 12-2007 WAFER PROBE AND PACKAGE TEST FAILURE ANALYSIS Deepa Kalva Clemson University, dkalva@clemson.edu Follow this and additional works at: http://tigerprints.clemson.edu/all_theses

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery

Read Disturb Errors in MLC NAND Flash Memory: Characterization, Mitigation, and Recovery Carnegie Mellon University Research Showcase @ CMU Department of Electrical and Computer Engineering Carnegie Institute of Technology 6-2015 Read Disturb Errors in MLC NAND Flash Memory: Characterization,

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

This work was supported in part by a Caltech CI2 grant /16/$ IEEE 6C-6-1

This work was supported in part by a Caltech CI2 grant /16/$ IEEE 6C-6-1 Data Archiving in 1x-nm NAND Flash Memories: Enabling Long-Term Storage using Rank Modulation and Scrubbing Yue Li,EyalEnGad, Anxiao (Andrew) Jiang, and Jehoshua Bruck Department of Electrical Engineering,

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

3D NAND Technology Scaling helps accelerate AI growth

3D NAND Technology Scaling helps accelerate AI growth 3D NAND Technology Scaling helps accelerate AI growth Jung Yoon, Ranjana Godse IBM Supply Chain Engineering Andrew Walls IBM Flash Systems August 2018 1 Agenda 3D-NAND Scaling & AI Flash density trend

More information

arxiv: v3 [cs.ar] 22 Sep 2017

arxiv: v3 [cs.ar] 22 Sep 2017 Appears in Proceedings of the IEEE, September 2017. Error Characterization, Mitigation, and Recovery in Flash-Memory-Based Solid-State Drives Yu Cai Saugata Ghose Erich F. Haratsch Yixin Luo Onur Mutlu

More information

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL

DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL DESIGN AND PERFORMANCE ANALYSIS OF A NONVOLATILE MEMORY CELL 1 M. Vasudha, 2 B. Sri Pravallika, 3 Ch. Sai Kiran, 4 P. Subhani, 5 G. Rakesh Chowdary, 6 M Durga Prakash, 7 K Hari Kishore, 8 T.V. Ramakrishna

More information

Layout Analysis Embedded Memory

Layout Analysis Embedded Memory Sample Report For any additional technical needs concerning semiconductor and electronics technology, please call Sales at Chipworks. 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7, Canada Tel: 613.829.0414

More information

SSD (Solid State Disk)

SSD (Solid State Disk) SSD (Solid State Disk) http://en.wikipedia.org/wiki/solid-state_drive SSD (Solid State Disk) drives Most SSD drives gives very good performance 4x ~ 100x No noise, low weight, power and heat generation

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

Monolithic 3D Flash NEW TECHNOLOGIES & DEVICE STRUCTURES

Monolithic 3D Flash NEW TECHNOLOGIES & DEVICE STRUCTURES Monolithic 3D Flash Andrew J. Walker Schiltron Corporation Abstract The specter of the end of the NAND Flash roadmap has resulted in renewed interest in monolithic 3D approaches that continue the drive

More information

STMicroelectronics STM32F103ZET6 32 Bit MCU Embedded NOR Flash

STMicroelectronics STM32F103ZET6 32 Bit MCU Embedded NOR Flash 32 Bit MCU Embedded NOR Flash Process Review For comments, questions, or more information about this report, or for any additional technical needs concerning semiconductor technology, please call Sales

More information

White Paper: The V-Nand paradigm shift

White Paper: The V-Nand paradigm shift White Paper: The V-Nand paradigm shift V-NAND Delivers New Breed of SSD Performance 1 introduction: the v-nand paradigm shift 2 The emergence of NAND flash memory has enabled a revolutionary change in

More information

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC The Evolving Semiconductor Technology Landscape and What it Means for Lithography Scotten W. Jones President IC Knowledge LLC Outline NAND DRAM Logic Conclusion 2 NAND Linewidth Trend 2D to 3D For approximately

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

IBG Protection for Anti-Fuse OTP Memory Security Breaches

IBG Protection for Anti-Fuse OTP Memory Security Breaches IBG Protection for Anti-Fuse OTP Memory Security Breaches Overview Anti-Fuse Memory IP is considered by some to be the gold standard for secure memory. Once programmed, reverse engineering methods will

More information

Lecture 20: CAMs, ROMs, PLAs

Lecture 20: CAMs, ROMs, PLAs Lecture 2: CAMs, ROMs, PLAs Outline Content-Addressable Memories Read-Only Memories Programmable Logic Arrays 2: CAMs, ROMs, and PLAs CMOS VLSI Design 4th Ed. 2 CAMs Extension of ordinary memory (e.g.

More information

How 3D NAND Stacks Up. Report No. FI-NFL-3DM-0114

How 3D NAND Stacks Up. Report No. FI-NFL-3DM-0114 Report No. FI-NFL-3DM-0114 2014 Forward Insights. All Rights Reserved. Reproduction and distribution of this publication in any form in whole or in part without prior written permission is prohibited.

More information

Anatomy of a Post PC Device. Prof. S.E Thompson EEE4310/5322 Fall 2017

Anatomy of a Post PC Device. Prof. S.E Thompson EEE4310/5322 Fall 2017 Anatomy of a Post PC Device iphone 7 Samsung Galaxy S8 Prof. S.E Thompson EEE4310/5322 Fall 2017 Modern Computer or Mobile Computer? Samsung Galaxy S8 2 More than mobile computer - Robotics - Drones -

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors

A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors A Non-Volatile Microcontroller with Integrated Floating-Gate Transistors Wing-kei Yu, Shantanu Rajwade, Sung-En Wang, Bob Lian, G. Edward Suh, Edwin Kan Cornell University 2 of 32 Self-Powered Devices

More information

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point.

Sense Amplifiers 6 T Cell. M PC is the precharge transistor whose purpose is to force the latch to operate at the unstable point. Announcements (Crude) notes for switching speed example from lecture last week posted. Schedule Final Project demo with TAs. Written project report to include written evaluation section. Send me suggestions

More information

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004 Analog CMOS IC Design Improved Initial Overdrive Sense-Amplifier For Low-Voltage DRAMS Esayas Naizghi April 30, 2004 Overview 1. Introduction 2. Goals and Objectives 3. Gate Sizing Theory 4. DRAM Introduction

More information

FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC. Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013

FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC. Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013 FLASH RELIABILITY, BEYOND DATA MANAGEMENT AND ECC Hooman Parizi, PHD Proton Digital Systems Aug 15, 2013 AGENDA Section 1: Flash Reliability Section 2: Components to Improve Flash Reliability Section 3:

More information

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Solid State Drives (SSDs) Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Memory Types FLASH High-density Low-cost High-speed Low-power High reliability

More information

The Zen of Nonvolatile Memories

The Zen of Nonvolatile Memories 47.3 The Zen of Nonvolatile Memories Erwin J. Prinz Freescale Semiconductor, Inc. 6501 William Cannon Drive West Austin, Texas 78735, U.S.A. (512) 895 8443 Erwin.Prinz@Freescale.com ABSTRACT Silicon technology

More information

EE141-Fall 2007 Digital Integrated Circuits. ROM and Flash. Announcements. Read-Only Memory Cells. Class Material. Semiconductor Memory Classification

EE141-Fall 2007 Digital Integrated Circuits. ROM and Flash. Announcements. Read-Only Memory Cells. Class Material. Semiconductor Memory Classification EE4-Fall 2007 igital Integrated Circuits Lecture 29 ROM, Flash, and RAM ROM and Flash 4 4 Announcements Final ec. 20 th Room TBA Final review sessions: Mon. ec. 7 th 3:30pm, 550 Cory Tues. ec. 7 th 3:30pm,

More information

How Good Is Your Memory? An Architect s Look Inside SSDs

How Good Is Your Memory? An Architect s Look Inside SSDs How Good Is Your Memory? An Architect s Look Inside SSDs Michael Abraham (mabraham@micron.com) Business Line Manager Micron Technology, Inc. August 2015 1 Early Storage Optimizations µc NAND Camera SmartMedia

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 8 Dr. Ahmed H. Madian ah_madian@hotmail.com Content Array Subsystems Introduction General memory array architecture SRAM (6-T cell) CAM Read only memory Introduction

More information