Cora Z7 Reference Manual

Size: px
Start display at page:

Download "Cora Z7 Reference Manual"

Transcription

1 Cora Z7 Reference Manual The Digilent Cora Z7 is a ready to use, low cost, and easily embeddable development platform designed around the powerful Zynq 7000 All Programmable System on Chip (APSoC) from Xilinx. The Zynq 7000 architecture tightly integrates a single or dual core 667MHz ARM Cortex A9 processor with a Xilinx 7 series FPGA. This pairing grants the ability to surround the processor with a unique set of software defined peripherals and controllers, tailored for the target application. The Cora Z7 s wide array of hardware interfaces, from a 1Gbps Ethernet PHY to analog todigital converters and general purpose input/output pins, make it an ideal platform for the development of a vast variety of embedded applications. The small form factor and mounting holes make the Cora Z7 ready to be used as one component of a larger solution. The on board SD Card slot, Ethernet, and Power solution allow the Cora Z7 to operate independently of a host computer.

2

3

4 Features ZYNQ Processor o 667MHz dual core (*single core) Cortex A9 processor o FPGA Programmable logic equivalent to Artix 7 FPGA 4,400 Programmable logic slices (*3,600) 80 DSP slices (*60) 270 KB of block RAM (*225 KB) o DDR3 memory controller with 8 DMA channels and 4 High Performance AXI3 Slave ports o High bandwidth peripheral controllers: 1G Ethernet, USB 2.0, SDIO o Low bandwidth peripheral controllers: SPI, UART, CAN, I2C o Dual channel, 1 MSPS internal analog digital converter o Programmable from JTAG and microsd card Memory o 512MB DDR3 with 16 bit 1050Mbps o microsd slot Power o Powered from USB or any 4.5V 5.5V external power source USB and Ethernet o Gigabit Ethernet PHY with 48 bit globally unique EUI 48/64 compatible identifier available on sticker o USB JTAG programming circuitry o USB UART bridge o USB OTG PHY (supports host only) Push buttons and LEDs o Two Push buttons o Two RGB LEDs Expansion Connectors o Two Pmod connectors 16 Total FPGA I/O o Arduino/chipKIT Shield connector Up to 49 Total FPGA Digital I/O 6 Single ended 0 3.3V Analog inputs to XADC 8 Differential 0 1.0V Analog inputs to XADC o Unloaded expansion header 12 additional FPGA Digital I/O (*Z7 07S variant in parentheses where different)

5 Callout Description Callout Description 1 Power select jumper (Ext. supply / USB) 11 microsd card slot (underside of board) 2 Power jack (for optional ext. supply) 12 USB host port 3 Shared USB JTAG / UART port 13 FPGA programming DONE LED 4 Unloaded expansion header 14 Processor subsystem reset button 5 Pmod connectors 15 Ethernet port 6 SPI header (Arduino/ChipKIT compatible) 16 Power on reset button 7 Arduino/ChipKIT shield connectors 17 Power good LED

6 Callout Description Callout Description 8 Programming mode jumper (JTAG / microsd) 18 Zynq User tri color LEDs 19 DDR3L memory 10 User push buttons Purchasing Options and Board Variants The Cora Z7 can be purchased with either a Zynq 7010 or Zynq 7007S loaded. These two Cora Z7 product variants are referred to as the Cora Z7 10 and Cora Z7 07S, respectively. When Digilent documentation describes functionality that is common to both of these variants, they are referred to collectively as the Cora Z7. When describing something that is only common to a specific variant, the variant will be explicitly called out by its name. The only difference between the Cora Z7 10 and Cora Z7 07S is the capability of the Zynq part. The Zynq processors both have the same capabilities, but the 10 has about a 1.2 times larger internal FPGA and an additional processor core, as compared to the 07S. The differences between the two variants are summarized below: Product Variant Cora Z7 10 Cora Z7 07S Zynq Part XC7Z010 1CLG400C XC7Z007S 1CLG400C ARM Processor Cores MSPS On chip ADC Yes Yes Look up Tables (LUTs) 17,600 14,400

7 Product Variant Cora Z7 10 Cora Z7 07S Flip Flops 35,200 28,800 DSP Slices Block RAM 270 KB 225 KB Clock Management Tiles 2 2 For more information on purchasing, see the Cora Z7 Product Page. Note: Due to the sizes of the FPGAs in the Zynq 7010 and Zynq 7007S, they are not very well suited to be used in SDSoC for embedded vision applications. We recommend people purchase the Arty Z7 20 if they are interested in these types of applications. Software Support The Cora Z7 is fully compatible with Xilinx s high performance Vivado Design Suite. This toolset melds FPGA logic design and embedded ARM software development into an easy to use, intuitive design flow. It can be used for designing systems of any complexity, from a complete operating system running multiple server applications in tandem, down to a simple bare metal program that controls some LEDs. It is also possible to treat the Zynq AP SoC as a standalone FPGA for those not interested in using the processor in their design. As of Vivado release , the Logic Analyzer and High level Synthesis features of Vivado are free to use for all WebPACK targets, which includes the Cora Z7. The Logic Analyzer assists with debugging logic, and the HLS tool allows you to compile C code directly into HDL. Master XDC files and Board files for the Cora Z7 10 and Z7 07S are available through the Cora Z7 Resource Center. These files are used to inform Vivado about how the Zynq chip on the Cora is configured and connected to the rest of the Cora. Zynq platforms are well suited to be embedded Linux targets, and Cora Z7 is no exception. To help you get started, Digilent provides a Petalinux project that will get you up and running with a Linux system quickly. For more information, see the Cora Z7 Resource Center.

8 Those familiar with the older Xilinx ISE/EDK toolsets from before Vivado was released can also choose to use the Cora Z7 in that toolset. Digilent does not have many materials to support this, but you can always ask for help on the Digilent Forum. Functional Description 1 Power Supplies The Cora Z7 requires a 5 Volt power source to operate. This power source can come from the Digilent USB JTAG port (J12) or it can be derived from a 5 Volt DC power supply connected to the Power Jack (J15). Unlike other Digilent FPGAs, the Cora Z7 cannot be powered through the Shield Header. A red power good LED (LD7), driven by the 3.3V output (VCC3V3) of the DA9062 regulator, indicates that the board is receiving power and that the onboard supplies are functioning as expected. If this LED does not illuminate when an acceptable power supply is connected, please contact your distributor or Digilent Support for further help. Figure 1.1 Cora Z7 Power Circuit The USB port can deliver enough power for the vast majority of designs. However, a few demanding applications, including any that drive multiple peripheral boards, might require more power than the USB port can provide. Also, some applications may need to run without being connected to a PC s USB port. In these instances an external power supply can be used by plugging into the Power Jack (J15). The supply must use a coaxial, center positive 2.1mm (or 2.5mm) internal diameter plug, and provide a DC voltage of 5 Volts. The supply should provide

9 a minimum current of 1 amp. Ideally, the supply should be capable of providing 20 Watts of power (5 Volts DC, 4 amps). If the USB port is to be used to deliver power, the Power Select Jumper (JP3) should be set to USB. If an external power supply is to be used, JP3 should be set to EXT instead. Voltage regulator circuits from Dialog Semiconductor and ON Semiconductor create the required 3.3V, 1.8V, 1.35V, and 1.00V supplies from the 5V power source. In the event that an external supply or battery pack is used, the on board Monolithic Power Systems 5V regulator (IC12) provides the 5V source. Table 1.1 provides additional information (typical currents depend strongly on FPGA configuration and the values provided are typical of medium size/speed designs). The 0.675V supply is created by a simple Voltage divider circuit consisting of two 10 KOhm resistors, sourced from the 1.35V rail. Supply Circuits Device Maximum Current 5.0V Onboard Regulators, Arduino/chipKit Shield Connector, RGB LEDs IC4: ON Semiconductor NCP380 1) 3.3V FPGA I/O, USB port, Ethernet IC15: Dialog Semiconductor DA9062 2A 1.0V FPGA, Ethernet IC15: Dialog Semiconductor DA A 1.8V FPGA Auxiliary, USB port, Ethernet IC15: Dialog Semiconductor DA A 1.35V FPGA, DDR3L memory IC15: Dialog Semiconductor DA A 1.8V FPGA XADC IC15: Dialog Semiconductor DA mA Table 1.1. Cora Z7 Power Rails.

10 1) With JP3 set to USB 2 Zynq APSoC Architecture The Zynq APSoC is divided into two distinct subsystems: The Processing System (PS) and the Programmable Logic (PL). Figure 2.1 shows an overview of the Zynq APSoC architecture, with the PS colored light green and the PL in yellow. Note that the PCIe Gen2 controller and Multigigabit transceivers are not available on the Zynq 7010 or Zynq 7007S devices. Figure 2.1 Zynq APSoC architecture The PL is nearly identical to a Xilinx 7 series Artix FPGA, except that it contains several dedicated ports and buses that tightly couple it to the PS. The PL also does not contain the same configuration hardware as a typical 7 series FPGA, and it must be configured either directly by the processor or via the JTAG port.

11 The PS consists of many components, including the Application Processing Unit (APU), Advanced Microcontroller Bus Architecture (AMBA) Interconnect, DDR3 Memory controller, and various peripheral controllers with their inputs and outputs multiplexed to 54 dedicated pins (called Multiplexed I/O, or MIO pins). The Zynq 7010 APU contains two Cortex A9 processors, while the Zynq 7007S APU only contains one. Peripheral controllers that do not have their inputs and outputs connected to MIO pins can instead route their I/O through the PL, via the Extended MIO (EMIO) interface. The peripheral controllers are connected to the processors as slaves via the AMBA interconnect, and contain readable/writable control registers that are addressable in the processors memory space. The programmable logic is also connected to the interconnect as a slave, and designs can implement multiple cores in the FPGA fabric that each also contain addressable control registers. Furthermore, cores implemented in the PL can trigger interrupts to the processors (connections not shown in Figure 2.1) and perform Direct Memory Access (DMA) transfers to and from DDR3 memory. There are many aspects of the Zynq APSoC architecture that are beyond the scope of this document. For a complete and thorough description, refer to the Zynq Technical Reference Manual. Table 2.1 depicts the external components connected to the MIO pins of the Cora Z7. The Zynq Presets File found on the Cora Z7 Resource Centercan be imported into EDK and Vivado Designs to properly configure the PS to work with these peripherals. MIO 500 (3.3 V) Peripherals Pin Configuration Mode ENET 0 USB 0 Shield UART 0 0 (N/C) 1 (N/C) 2 MODE0 3 MODE1 4 MODE2

12 5 MODE3 6 MODE4 7 VCFG0 8 VCFG1 9 Ethernet Reset 10 Ethernet Interrupt 11 USB Over Current 12 Shield Reset 13 (N/C) 14 UART Input 15 UART Output MIO 501 (1.0V) Peripherals Pin ETH 0 USB 0 SDIO 0

13 16 TXCK 17 TXD0 18 TXD1 19 TXD2 20 TXD3 21 TXCTL 22 RXCK 23 RXD0 24 RXD1 25 RXD2 26 RXD3 27 RXCTL 28 DATA4 29 DIR

14 30 STP 31 NXT 32 DATA0 33 DATA1 34 DATA2 35 DATA3 36 CLK 37 DATA5 38 DATA6 39 DATA7 40 CCLK 41 CMD 42 D0 43 D1

15 44 D2 45 D3 46 RESETN 47 CD 48 (N/C) 49 (N/C) 50 (N/C) 51 (N/C) 52 MDC 53 MDIO Table 2.1. MIO Pinout 3 Zynq Configuration Unlike Xilinx FPGA devices, APSoC devices such as the Zynq 7007S are designed around the processor, which acts as a master to the programmable logic fabric and all other on chip peripherals in the processing system. This causes the Zynq boot process to be more similar to that of a microcontroller than an FPGA. This process involves the processor loading and executing a Zynq Boot Image, which includes a First Stage Bootloader (FSBL), a bitstream for configuring the programmable logic (optional), and a user application. The boot process is broken into three stages:

16 Stage 0 After the Cora Z7 is powered on, or the Zynq is reset (in software or by pressing SRST), the processor (CPU0 for the Cora Z7 10) begins executing an internal piece of read only code called the BootROM. If and only if the Zynq was just powered on, the BootROM will first latch the state of the mode pins into the mode register (the mode pins are attached to JP2 on the Cora Z7). If the BootROM is being executed due to a reset event, then the mode pins are not latched, and the previous state of the mode register is used. This means that the Cora Z7 needs a power cycle to register any change in the programming mode jumper (JP2). Next, the BootROM copies an FSBL from the form of non volatile memory specified by the mode register to the 256 KB of internal RAM within the APU (called On Chip Memory, or OCM). The FSBL must be wrapped up in a Zynq Boot Image in order for the BootROM to properly copy it. The last thing the BootROM does is hand off execution to the FSBL in OCM. Stage 1 During this stage, the FSBL first finishes configuring the PS components, such as the DDR memory controller. Then, if a bitstream is present in the Zynq Boot Image, it is read and used to configure the PL. Finally, the user application is loaded into memory from the Zynq Boot Image, and execution is handed off to it. Stage 2 The last stage is the execution of the user application that was loaded by the FSBL. This can be any sort of program, from a simple Hello World design, to a Second Stage Boot loader used to boot an operating system like Linux. For a more thorough explanation of the boot process, refer to Chapter 6 of the Zynq Technical Reference manual. The Zynq Boot Image is created using Vivado and Xilinx Software Development Kit (Xilinx SDK). For information on creating this image please refer to the available Xilinx documentation for these tools. The Cora Z7 supports two different boot modes: microsd and JTAG. The boot mode is selected using the Mode jumper (JP2), which affects the state of the Zynq configuration pins after power on. Figure 3.1 depicts how the Zynq configuration pins are connected on the Cora Z7.

17 Figure 3.1. Cora Z7 configuration pins. The two boot modes are described in the following sections. 3.1 microsd Boot Mode The Cora Z7 supports booting from a microsd card inserted into connector J10. The following procedure will allow the Zynq to boot from microsd with a standard Zynq Boot Image created with the Xilinx tools: 1. Format the microsd card with a FAT32 file system. 2. Copy the Zynq Boot Image created with Xilinx SDK to the microsd card. 3. Rename the Zynq Boot Image on the microsd card to BOOT.bin. 4. Eject the microsd card from the host computer and insert it into connector J10 on the Cora Z7. 5. Attach a power source to the Cora Z7 and select it using JP3. 6. Place a jumper on JP2, shorting the two pins together. 7. Turn the board on. The board will now boot the image on the microsd card. 3.2 JTAG Boot Mode When placed in JTAG boot mode, the processor will wait until software is loaded by a host computer using the Xilinx tools. After software has been loaded, it is possible to either let the software begin executing, or step through it line by line using Xilinx SDK. It is also possible to directly configure the PL over JTAG, independent of the processor. This can be done using the Vivado Hardware Server.

18 4 DDR3L Memory The Cora Z7 includes a Micron MT41K256M16HA 125 DDR3L memory component, creating a single rank 16 bit wide interface and a total of 512 MiB (Mebi byte, or 536,870,912 bytes) of capacity. The DDR3L is connected to the hard memory controller in the Processor Subsystem (PS), as outlined in the Zynq documentation. The PS incorporates an AXI memory port interface, a DDR controller, the associated PHY, and a dedicated I/O bank. DDR3L memory interface speeds up to 533 MHz/1066 Mbps are supported. The Cora Z7 was routed with 40 ohm (+/ 10%) trace impedance for single ended signals, and differential clock and strobes set to 80 ohms (+/ 10%). A feature called DCI (Digitally Controlled Impedance) is used to match the drive strength and termination impedance of the PS pins to the trace impedance. On the memory side, the DDR3L chip calibrates its on die termination and drive strength using a 240 ohm resistor on the ZQ pin. Due to layout reasons, the two data byte groups (DQ[0 7], DQ[8 15]) were swapped. To the same effect, the data bits inside byte groups were swapped as well. These changes are transparent to the user. During the whole design process the Xilinx PCB guidelines were followed. Both the memory chip and the PS DDR bank are powered from the 1.35V supply. The mid point reference of 0.675V is created with a simple resistor divider and is available to the Zynq as external reference. For proper operation it is essential that the PS memory controller is configured properly. Settings range from the actual memory flavor to the board trace delays. For your convenience, the Cora Z7 Vivado board files are available on the Cora Z7 Resource Center and automatically configure the Zynq Processing System IP core with the correct parameters. For best DDR3L performance, DRAM training is enabled for write leveling, read gate, and read data eye options in the PS Configuration Tool in Xilinx tools. Training is done dynamically by the controller to account for board delays, process variations and thermal drift. Optimum starting values for the training process are the board delays (propagation delays) for certain memory signals. Board delays are specified for each of the byte groups. These parameters are board specific and were calculated from the PCB trace length reports. The DQS to CLK Delay and Board Delay values are calculated specific to the Cora Z7 memory interface PCB design. For more details on memory controller operation, refer to the Xilinx Zynq Technical Reference manual.

19 5 USB UART Bridge (Serial Port) The Cora Z7 includes an FTDI FT2232HQ USB UART bridge (attached to connector J12) that lets you use PC applications to communicate with the board using standard COM port commands (or the tty interface in Linux). Drivers are automatically installed in Windows and newer versions of Linux. Serial port data is exchanged with the Zynq using a two wire serial port (TXD/RXD). After the drivers are installed, I/O commands can be used from the PC directed to the COM port to produce serial data traffic on the Zynq pins. The port is tied to PS (MIO) pins and can be used in combination with the UART 0 controller. The Zynq presets file (available through the Cora Z7 Resource Center) takes care of mapping the correct MIO pins to the UART 0 controller and uses the following default protocol parameters: baud rate, 1 stop bit, no parity, 8 bit character length. Two on board status LEDs provide visual feedback on traffic flowing through the port: the transmit LED (LD5) and the receive LED (LD4). Signal names that imply direction are from the point of view of the DTE (Data Terminal Equipment), in this case the PC. The FT2232HQ is also used as the controller for the Digilent USB JTAG circuitry, but the USB UART and USB JTAG functions behave entirely independent of one another. Programmers interested in using the UART functionality of the FT2232 within their design do not need to worry about the JTAG circuitry interfering with the UART data transfers, and vice versa. The combination of these two features into a single device allows the Cora Z7 to be programmed, communicated with via UART, and powered from a computer attached with a single Micro USB cable. The DTR signal from the UART controller on the FT2232HQ is connected to MIO12 of the Zynq device via JP1. Should the Arduino IDE be ported to work with the Cora Z7, this jumper can be shorted and MIO12 could be used to place the Cora Z7 in a ready to receive a new sketch state. This would mimic the behavior of typical Arduino IDE boot loaders. 6 microsd Slot The Cora Z7 provides a microsd slot (J10) for non volatile external memory storage as well as for booting the Zynq. The slot is wired to Bank 1/501 MIO[40 47], including the Card Detect signal. On the Zynq PS, peripheral SDIO 0 is mapped out to these pins and controls communication with the SD card. The pinout can be seen in Table 6.1. The peripheral controller supports 1 bit and 4 bit SD transfer modes, but does not support SPI mode. Based on the Zynq Technical Reference manual, SDIO host mode is the only mode supported. Signal Name Description Zynq Pin SD Slot Pin

20 SD_D0 Data[0] MIO42 7 SD_D1 Data[1] MIO43 8 SD_D2 Data[2] MIO44 1 SD_D3 Data[3] MIO45 2 SD_CCLK Clock MIO40 5 SD_CMD Command MIO41 3 SD_CD Card Detect MIO47 9 Table 6.1. microsd pinout The SD slot is powered from the 3.3V rail, but is connected through MIO Bank 1/501 (1.8V). Therefore, a TI TXS02612 level shifter is used to perform the necessary translation. The TXS02612 is actually a 2 port SDIO port expander, but only its level shifter function is used. The connection diagram can be seen in Figure 6.1. Mapping out the correct pins and configuring the interface is handled by the Cora Z7 Zynq presets file, available through the Cora Z7 Resource Center. Figure 6.1. microsd slot signals

21 Both low speed and high speed cards are supported, as the maximum clock frequency is 50 MHz. A Class 4 card or better is recommended. Refer to section 3.1, microsd Boot Mode, for information on how to boot the Cora Z7 from an SD card. For more information, consult the Zynq Technical Reference manual. 7 USB Host The Cora Z7 implements one of the two available PS USB OTG interfaces on the Zynq device. A Microchip USB3320 USB 2.0 Transceiver Chip with an 8 bit ULPI interface is used as the PHY. The PHY features a complete HS USB Physical Front End supporting speeds of up to 480Mbs. The PHY is connected to MIO Bank 1/501, which is powered at 1.8V. The USB0 peripheral is used on the PS, connected through MIO[28 39]. The USB OTG interface is configured to act as an embedded host. USB OTG and USB device modes are not supported. The Cora Z7 is technically an embedded host, because it does not provide the required 150 µf of capacitance on VBUS required to qualify as a general purpose host. It is possible to modify the Cora Z7 so that it complies with the general purpose USB host requirements by loading C35 with a 150 µf capacitor. Only those experienced at soldering small components on PCBs should attempt this rework. Many USB peripheral devices will work just fine without loading C35. Whether the Cora Z7 is configured as an embedded host or a general purpose host, it can provide 1A on the 5V VBUS line. Note that if your design uses the USB Host port (embedded or general purpose), then the Cora Z7 should be powered via a wall adapter capable of providing more power. 8 Ethernet PHY The Cora Z7 uses a Realtek RTL8211E VL PHY to implement a 10/100/1000 Ethernet port for network connection. The PHY connects to MIO Bank 501 (1.8V) and interfaces to the Zynq 7000 APSoC via RGMII for data and MDIO for management. The auxiliary interrupt (INTB) and reset (PHYRSTB) signals connect to MIO pins MIO10 and MIO9, respectively.

22 Ethernet PHY signals Figure 8.1. After power up the PHY starts with Auto Negotiation enabled, advertising 10/100/1000 link speeds and full duplex. If there is an Ethernet capable partner connected, the PHY automatically establishes a link with it, even with the Zynq not configured. Two status indicator LEDs are on board near the RJ 45 connector that indicate traffic (LD9) and valid link state (LD8). Table 8.1 shows the default behavior. Function Designator State Description LINK LD8 Steady On Link 10/100/1000 Blinking 0.4s ON, 2s OFF Link, Energy Efficient Ethernet (EEE) mode ACT LD9 Blinking Transmitting or Receiving Table 8.1. Ethernet status LEDs.

23 The Zynq incorporates two independent Gigabit Ethernet Controllers. They implement a 10/100/1000 half/full duplex Ethernet MAC. Of these two, GEM 0 can be mapped to the MIO pins where the PHY is connected. Since the MIO bank is powered from 1.8V, the RGMII interface uses 1.8V HSTL Class 1 drivers. For this I/O standard an external reference of 0.9V is provided in bank 501 (PS_MIO_VREF). Mapping out the correct pins and configuring the interface is handled by the Cora Z7 Zynq Presets file, available on the Cora Z7 Resource Center. Although the default power up configuration of the PHY might be enough in most applications, the MDIO bus is available for management. The RTL8211E VL is assigned the 5 bit address on the MDIO bus. With simple register read and write commands, status information can be read out or configuration changed. The Realtek PHY follows industry standard register map for basic configuration. The RGMII specification calls for the receive (RXC) and transmit clock (TXC) to be delayed relative to the data signals (RXD[0:3], RXCTL and TXD[0:3], TXCTL). Xilinx PCB guidelines also require this delay to be added. The RTL8211E VL is capable of inserting a 2ns delay on both the TXC and RXC so that board traces do not need to be made longer. The PHY is clocked from the same 50 MHz oscillator that clocks the Zynq PS. The parasitic capacitance of the two loads is low enough to be driven from a single source. On an Ethernet network each node needs a unique MAC address. To this end, a sticker has been applied to the Cora Z7 at the factory, displaying a 48 bit globally unique EUI 48/64 compatible identifier. For more information on using the Gigabit Ethernet MAC, refer to the Zynq Technical Reference manual. 9 Clock Sources The Cora Z7 provides a 50 MHz clock to the Zynq PS_CLK input, which is used to generate the clocks for each of the Processing System (PS) subsystems. The 50 MHz input allows the processor to operate at a maximum frequency of 650 MHz and the DDR3 memory controller to operate at a maximum of 525 MHz (1050 Mbps). The Cora Z7 Zynq Preset file available within the Digilent Vivado Board File package (Installation Instructions) can be imported into the Zynq Processing System IP core in a Vivado project to properly configure the Zynq to work with the 50 MHzinput clock. The PS has a dedicated Phase Locked Loop (PLL) capable of generating up to four reference clocks, each with settable frequencies, that can be used to clock custom logic implemented in the Programmable Logic (PL). Additionally, the Cora Z7 provides an external 125 MHz reference clock directly to pin H16 of the PL. The external reference clock allows the PL to be used completely independently of the PS, which can be useful for simple applications that do not require the processor.

24 The PL of the Zynq also includes Mixed Mode Clock Managers (MMCM) and PLLs that can be used to generate clocks with precise frequencies and phase relationships. Any of the four PS reference clocks or the 125 MHz external reference clock can be used as an input to the MMCMs and PLLs. Both the Cora Z7 07S and Z7 10 include 2 MMCM's and 2 PLL's. For a full description of the capabilities of the Zynq PL clocking resources, refer to the 7 Series FPGAs Clocking Resources User Guide available from Xilinx. Figure 9.1 outlines the clocking scheme used on the Cora Z7. Note that the reference clock output from the Ethernet PHY is used as the 125 MHzreference clock to the PL, in order to cut the cost of including a dedicated oscillator for this purpose. Keep in mind that CLK125 will be disabled when the Ethernet PHY (IC1) is held in hardware reset by driving the PHYRSTB signal low. Figure 9.1. Cora Z7 Clocking 10 Reset Sources 10.1 Power on Reset The Zynq Processing System (PS) supports external power on reset signals. The power on reset is the master reset of the entire chip. This signal resets every register in the device capable of being reset. The Cora Z7 drives this signal from the nreset signal of the DA9062 DC DC converter system in order to hold the system in reset until all power supplies are valid. A pushbutton, labeled RESET, can be used to toggle the power on reset signal. Note: The power on reset will not reset attached shields.

25 10.2 Processor Subsystem Reset The external system reset, labeled SRST, resets the Zynq device without disturbing the debug environment. For example, the previous break points set by the user remain valid after system reset. Due to security concerns, system reset erases all memory content within the PS, including the OCM. The PL is also cleared during a system reset. System reset does not cause the boot mode strapping pins to be re sampled. The SRST button also causes the CK_RST signal to toggle and trigger a reset on any attached shields. 11 Basic I/O The Cora Z7 board includes two tri color LEDs and 2 push buttons as shown in Figure The push buttons are connected to the Zynq PL via series resistors to prevent damage from inadvertent short circuits (a short circuit could occur if an FPGA pin assigned to a push button was inadvertently defined as an output). The two push buttons are momentary switches that normally generate a low output when they are at rest, and a high output only when they are pressed. Figure Cora Z7 Basic I/O 11.1 Tri Color LEDs The Cora Z7 board contains two tri color LEDs. Each tri color LED has three input signals that drive the cathodes of three smaller internal LEDs: one red, one blue, and one green. Driving the signal corresponding to one of these colors high will illuminate the internal LED. The input signals are driven by the Zynq PL through a transistor, which inverts the signals. Therefore, to light up the tri color LED, the corresponding signals need to be driven high. The tri

26 color LED will emit a color dependent on the combination of internal LEDs that are currently being illuminated. For example, if the red and blue signals are driven high and green is driven low, the tri color LED will emit a purple color. Digilent strongly recommends the use of pulse width modulation (PWM) when driving the tricolor LEDs. Driving any of the inputs to a steady logic 1 will result in the LED being illuminated at an uncomfortably bright level. This can be avoided by ensuring that none of the tri color signals are driven with more than a 50% duty cycle. Using PWM also greatly expands the potential color palette of the tri color led. Individually adjusting the duty cycle of each color between 50% and 0% causes the different colors to be illuminated at different intensities, allowing virtually any color to be displayed. 12 Pmod Connectors Pmod connectors are 2 6, right angle, 100 mil spaced female connectors that mate with standard 2 6 pin headers. Each 12 pin Pmod connector provides two 3.3V VCC signals (pins 6 and 12), two Ground signals (pins 5 and 11), and eight logic signals, as shown in Figure The VCC and Ground pins can deliver up to 100mA of current, but care must be taken not to exceed any of the power budgets of the onboard regulators or the external power supply (see the 3.3V rail current limits listed in the Power Supplies section). Figure Pmod Port Diagram Digilent produces a large collection of Pmod accessory boards that can attach to the Pmod expansion connectors to add ready made functions like A/D s, D/A s, motor drivers, sensors, and other functions. See for more information. Each Pmod connector found on Digilent FPGA boards falls into one of four categories: standard, MIO connected, XADC, or high speed. The Cora Z7 has two Pmod connectors, both of which are of the high speed type. The following section describes the high speed type of Pmod connector High Speed Pmods The High speed Pmods have their data signals routed as impedance matched differential pairs for maximum switching speeds. They have pads for loading resistors for added protection, but the Cora Z7 ships with these loaded as 0 Ohm shunts. With the series resistors shunted, these Pmods offer no protection against short circuits, but allow for much faster switching speeds.

27 The signals are paired to the adjacent signals in the same row: pins 1 and 2, pins 3 and 4, pins 7 and 8, and pins 9 and 10. Traces are routed 100 ohm (+/ 10%) differential. If pins on this port are used as single ended signals, coupled pairs may exhibit crosstalk. In applications where this is a concern, one of the signals should be grounded (drive it low from the FPGA) and use its pair for the signal ended signal. Since the High Speed Pmods have 0 ohm shunts instead of protection resistors, the operator must take care to prevent any shorts. 13 Arduino/chipKIT Shield Connector The Cora Z7 can be connected to standard Arduino and chipkit shields to add extended functionality. Special care was taken while designing the Cora S7 to make sure it is compatible with the majority of Arduino and chipkit shields on the market. The shield connector has 45 pins connected to the FPGA for general purpose Digital I/O. Due to the flexibility of FPGAs, it is possible to use these pins for just about anything including digital read/write, SPI connections, UART connections, I2C connections, and PWM. Six of these pins (labeled AN0 AN5) can also be used as single ended analog inputs with an input range of 0V 3.3V, and another six (labeled AN6 11) can be used as differential analog input pairs with an input range of 0V 1.0V. Note: The Cora Z7 is not compatible with shields that output 5V digital or analog signals. Driving pins on the Cora Z7 shield connector above 5V may cause damage to the FPGA. Figure 13.1 diagrams the pins found on the shield connector of the Cora Z7.

28 Figure Shield connector pin diagram. Pin Name Shield Function Cora Z7 Connection IO0 IO13, IO26 IO41, A (IO42) General purpose I/O pins See Section titled Shield Digital I/O SCL I2C Clock See Section titled Shield Digital I/O SDA I2C Data See Section titled Shield Digital I/O

29 Pin Name Shield Function Cora Z7 Connection SCK SPI Clock See Section titled Shield Digital I/O SS SPI Slave Select See Section titled Shield Digital I/O MOSI SPI Master Out Slave In See Section titled Shield Digital I/O MISO SPI Master In Slave In See Section titled Shield Digital I/O A0 A5 Single Ended Analog Input See Section titled Shield Analog I/O A6 A11 Differential Analog Input See Section titled Shield Analog I/O V_P, V_N Dedicated Differential Analog Input See Section titled Shield Analog I/O XGND XADC Analog Ground Connected to net used to drive the XADC ground reference on the FPGA (VREFN) N/C Not Connected Not Connected IOREF Digital I/O Voltage reference Connected to the Cora Z7 3.3V Power Rail (See the Power Supplies section)

30 Pin Name Shield Function Cora Z7 Connection RST Reset to Shield Connected to the red SRST button and a Digital I/O of the FPGA. When JP1 is shorted, it is also connected to the DTR signal of the FTDI USB UART bridge. 3V3 3.3V Power Rail Connected to the Cora Z7 3.3V Power Rail (See the Power Supplies section) 5V0 5.0V Power Rail Connected to the Cora Z7 5.0V Power Rail (See the Power Supplies section) GND, G Ground Connected to the Ground plane of Cora Z7 Table Cora Z7 Shield Pinout 13.1 Shield Digital I/O The pins connected directly to the FPGA can be used as general purpose inputs or outputs. These pins include the I2C, SPI, and general purpose I/O pins. There are 200 Ohm series resistors between the FPGA and the digital I/O pins to help provide protection against accidental short circuits. The absolute maximum and recommended operating voltages for these pins are outlined in Table Absolute Minimum Voltage Recommended Minimum Operating Voltage Recommended Maximum Operating Voltage Absolute Maximum Voltage Powered 0.4 V 0.2 V 3.4 V 3.75 V Unpowered 0.4 V N/A N/A 0.55 V Table Shield Voltage Specifications For more information on the electrical characteristics of the pins connected to the FPGA, please see the Zynq 7000 datasheet from Xilinx.

31 The pins on the shield connector typically used for I2C signals are labeled as SCL and SDA. On the Cora Z7, these signals are each attached to a pull up resistor. While these pins can still be used as digital I/O, these pull ups should be kept in mind Shield Analog I/O The pins labeled A0 A11 and V_P/V_N are used as analog inputs to the XADC module of the FPGA. The FPGA expects that the inputs range from 0 1 V. On the pins labeled A0 A5, the Cora Z7 uses an external circuit to scale down the input voltage from 3.3V. This circuit is shown in Figure This circuit allows the XADC module to accurately measure any voltage between 0V and 3.3V (relative to the Cora Z7's GND) that is applied to any of these pins. The pins labeled A0 A5 can also be used as digital inputs or outputs, as they are also connected directly to the FPGA before the resistor divider circuit (also shown in Figure ). Analog Inputs Figure Single Ended The pins labeled A6 A11 are connected directly to 3 pairs of analog capable pins on the FPGA via an anti aliasing filter. This circuit is shown in Figure These pairs of pins can be used as differential analog inputs with a voltage difference between 0 1V. The even numbered pins are connected to the positive pins of the trio and the odd numbers are connected to the negative pins (so A6 and A7 form an analog input pair with A6 being positive and A7 being negative). Note that though the pads for the capacitor are present, they are not loaded for these pins. Since the analog capable pins of the FPGA can also be used like normal digital FPGA pins, it is also possible to use these pins for Digital I/O. Figure Differential Analog Inputs

32 The pins labeled V_P and V_N are connected to the VP_0 and VN_0 dedicated analog inputs of the FPGA. This pair of pins can also be used as a differential analog input with voltage between 0 1V, but they cannot be used as Digital I/O. The capacitor in the circuit shown in Figure for this pair of pins is loaded on the Cora Z Dedicated Analog Input Pair Figure The XADC core within the Zynq is a dual channel 12 bit analog to digital converter capable of operating at 1 MSPS. Either channel can be driven by any of the analog inputs connected to the shield pins. The XADC core is controlled and accessed from a user design via either the Dynamic Reconfiguration Port (DRP) or an AXI interface connected to the Zynq PS. These interfaces also provide access to voltage monitors that are present on each of the FPGA s power rails, and a temperature sensor that is internal to the FPGA. For more information on using the XADC core, refer to the Xilinx document titled 7 Series FPGAs and Zynq 7000 All Programmable SoC XADC Dual 12 Bit 1 MSPS Analog to Digital Converter. A demo that uses the XADC core is available through the Cora Z7 Resource Center. 14 Unloaded Expansion Header The Cora Z7 has an additional 12 Digital I/O pins in the form of a 16 pin unloaded expansion header (J1). The two outer most pins (labeled V) of this header are connected to the Cora Z7's 3.3V rail. The next two outermost pins (labeled G) are connected to ground. The remaining 12 pins (labeled IO2 IO13) are directly connected to the Zynq PL. Zynq PL pin mappings for the unloaded expansion header can be found in the Cora Z7 master XDC file, available through the Cora Z7 Resource Center. Figure Unloaded Expansion Header logic/cora z7/reference manual

Arty Z7 Reference Manual

Arty Z7 Reference Manual Arty Z7 Reference Manual The Arty Z7 is a ready-to-use development platform designed around the Zynq-7000 All Programmable System-on-Chip (AP SoC) from Xilinx. The Zynq-7000 architecture tightly integrates

More information

Arty S7 Reference Manual

Arty S7 Reference Manual Arty S7 Reference Manual The Arty S7 board features the new Xilinx Spartan-7 FPGA and is the latest member of the Arty FPGA development board family from Digilent. The Spartan-7 FPGA offers the most size,

More information

Table of Contents Overview Features Purchasing Options Software Support Designing with MicroBlaze...

Table of Contents Overview Features Purchasing Options Software Support Designing with MicroBlaze... 1300 Henley Court Pullman, WA 99163 509.334.6306 www.store. digilent.com Arty S7 FPGA Board Reference Manual Revised January 25, 2018 This manual applies to the Arty S7 rev. B Table of Contents Table of

More information

The PYNQ-Z1 board is the hardware platform for the PYNQ open-source framework. The software running on the ARM A9 CPUs includes:

The PYNQ-Z1 board is the hardware platform for the PYNQ open-source framework. The software running on the ARM A9 CPUs includes: 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilent.com PYNQ-Z1 Board Reference Manual Revised April 13, 2017 Overview The PYNQ-Z1 board is designed to be used with PYNQ, a new open-source framework

More information

Arty A7 Reference Manual

Arty A7 Reference Manual Arty A7 Reference Manual The Arty A7, formerly known as the Arty, is a ready to use development platform designed around the Artix 7 Field Programmable Gate Array (FPGA) from Xilinx. It was designed specifically

More information

Arty FPGA Board Reference Manual. Overview. Revised June 7, 2017 This manual applies to the Arty Rev. C

Arty FPGA Board Reference Manual. Overview. Revised June 7, 2017 This manual applies to the Arty Rev. C 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com Arty FPGA Board Reference Manual Revised June 7, 2017 This manual applies to the Arty Rev. C Overview Arty is a ready-to-use development

More information

Overview Purchasing Options Software Support Zynq APSoC Architecture Functional Description Power Supplies...

Overview Purchasing Options Software Support Zynq APSoC Architecture Functional Description Power Supplies... 1300 Henley Court Pullman, WA 99163 509.334.6306 www.store. digilent.com Zybo Z7 Board Reference Manual Revised February 21, 2018 This manual applies to the Zybo Z7 rev. B Table of Contents Overview...

More information

Arduino Uno. Arduino Uno R3 Front. Arduino Uno R2 Front

Arduino Uno. Arduino Uno R3 Front. Arduino Uno R2 Front Arduino Uno Arduino Uno R3 Front Arduino Uno R2 Front Arduino Uno SMD Arduino Uno R3 Back Arduino Uno Front Arduino Uno Back Overview The Arduino Uno is a microcontroller board based on the ATmega328 (datasheet).

More information

ARDUINO MEGA 2560 REV3 Code: A000067

ARDUINO MEGA 2560 REV3 Code: A000067 ARDUINO MEGA 2560 REV3 Code: A000067 The MEGA 2560 is designed for more complex projects. With 54 digital I/O pins, 16 analog inputs and a larger space for your sketch it is the recommended board for 3D

More information

ARDUINO MEGA ADK REV3 Code: A000069

ARDUINO MEGA ADK REV3 Code: A000069 ARDUINO MEGA ADK REV3 Code: A000069 OVERVIEW The Arduino MEGA ADK is a microcontroller board based on the ATmega2560. It has a USB host interface to connect with Android based phones, based on the MAX3421e

More information

Arduino ADK Rev.3 Board A000069

Arduino ADK Rev.3 Board A000069 Arduino ADK Rev.3 Board A000069 Overview The Arduino ADK is a microcontroller board based on the ATmega2560 (datasheet). It has a USB host interface to connect with Android based phones, based on the MAX3421e

More information

Pmod modules are powered by the host via the interface s power and ground pins.

Pmod modules are powered by the host via the interface s power and ground pins. 1300 Henley Court Pullman, WA 99163 509.334.6306 www.store. digilent.com Digilent Pmod Interface Specification 1.2.0 Revised October 5, 2017 1 Introduction The Digilent Pmod interface is used to connect

More information

Copyright 2016 Xilinx

Copyright 2016 Xilinx Zynq Architecture Zynq Vivado 2015.4 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able to: Identify the basic building

More information

MYD-C7Z010/20 Development Board

MYD-C7Z010/20 Development Board MYD-C7Z010/20 Development Board MYC-C7Z010/20 CPU Module as Controller Board Two 0.8mm pitch 140-pin Connectors for Board-to-Board Connections 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor

More information

ARDUINO YÚN MINI Code: A000108

ARDUINO YÚN MINI Code: A000108 ARDUINO YÚN MINI Code: A000108 The Arduino Yún Mini is a compact version of the Arduino YUN OVERVIEW: Arduino Yún Mini is a breadboard PCB developed with ATmega 32u4 MCU and QCA MIPS 24K SoC CPU operating

More information

keyestudio Keyestudio MEGA 2560 R3 Board

keyestudio Keyestudio MEGA 2560 R3 Board Keyestudio MEGA 2560 R3 Board Introduction: Keyestudio Mega 2560 R3 is a microcontroller board based on the ATMEGA2560-16AU, fully compatible with ARDUINO MEGA 2560 REV3. It has 54 digital input/output

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

ARDUINO LEONARDO ETH Code: A000022

ARDUINO LEONARDO ETH Code: A000022 ARDUINO LEONARDO ETH Code: A000022 All the fun of a Leonardo, plus an Ethernet port to extend your project to the IoT world. You can control sensors and actuators via the internet as a client or server.

More information

ARDUINO YÚN Code: A000008

ARDUINO YÚN Code: A000008 ARDUINO YÚN Code: A000008 Arduino YÚN is the perfect board to use when designing connected devices and, more in general, Internet of Things projects. It combines the power of Linux with the ease of use

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

ARDUINO UNO REV3 SMD Code: A The board everybody gets started with, based on the ATmega328 (SMD).

ARDUINO UNO REV3 SMD Code: A The board everybody gets started with, based on the ATmega328 (SMD). ARDUINO UNO REV3 SMD Code: A000073 The board everybody gets started with, based on the ATmega328 (SMD). The Arduino Uno SMD R3 is a microcontroller board based on the ATmega328. It has 14 digital input/output

More information

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port

Revision: 5/7/ E Main Suite D Pullman, WA (509) Voice and Fax. Power jack 5-9VDC. Serial Port. Parallel Port Digilent Digilab 2 Reference Manual www.digilentinc.com Revision: 5/7/02 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilab 2 development board (the D2) features the

More information

MYC-C7Z010/20 CPU Module

MYC-C7Z010/20 CPU Module MYC-C7Z010/20 CPU Module - 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic - 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 4GB emmc, 32MB QSPI Flash - On-board Gigabit

More information

ZYBO FPGA Board Reference Manual. Overview. Revised April 11, 2016 This manual applies to the ZYBO rev. B

ZYBO FPGA Board Reference Manual. Overview. Revised April 11, 2016 This manual applies to the ZYBO rev. B 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com ZYBO FPGA Board Reference Manual Revised April 11, 2016 This manual applies to the ZYBO rev. B Overview The ZYBO (ZYnq BOard) is a feature-rich,

More information

ARDUINO UNO REV3 Code: A000066

ARDUINO UNO REV3 Code: A000066 ARDUINO UNO REV3 Code: A000066 The UNO is the best board to get started with electronics and coding. If this is your first experience tinkering with the platform, the UNO is the most robust board you can

More information

Zynq Architecture, PS (ARM) and PL

Zynq Architecture, PS (ARM) and PL , PS (ARM) and PL Joint ICTP-IAEA School on Hybrid Reconfigurable Devices for Scientific Instrumentation Trieste, 1-5 June 2015 Fernando Rincón Fernando.rincon@uclm.es 1 Contents Zynq All Programmable

More information

Digilab 2E Reference Manual

Digilab 2E Reference Manual Digilent 2E System Board Reference Manual www.digilentinc.com Revision: February 8, 2005 246 East Main Pullman, WA 99163 (509) 334 6306 Voice and Fax Digilab 2E Reference Manual Overview The Digilab 2E

More information

2 ni.com NI Digital System Development Board User Manual

2 ni.com NI Digital System Development Board User Manual USER MANUAL NI Digital System Development Board The Digital System Development Board (DSDB) is an NI ELVIS add-on board featuring a Zynq 7020 All Programmable SoC (AP SoC) that was designed by Digilent

More information

Avnet Zynq Mini Module Plus Embedded Design

Avnet Zynq Mini Module Plus Embedded Design Avnet Zynq Mini Module Plus Embedded Design Version 1.0 May 2014 1 Introduction This document describes a Zynq standalone OS embedded design implemented and tested on the Avnet Zynq Mini Module Plus. 2

More information

Introduction to Zynq

Introduction to Zynq Introduction to Zynq Lab 2 PS Config Part 1 Hello World October 2012 Version 02 Copyright 2012 Avnet Inc. All rights reserved Table of Contents Table of Contents... 2 Lab 2 Objectives... 3 Experiment 1:

More information

Digilab 2 Reference Manual

Digilab 2 Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 Reference Manual Revision: November 19, 2001 Overview The Digilab 2 (D2) development board

More information

KSZ9031RNX-EVAL Board User s Guide. Micrel, Inc All rights reserved

KSZ9031RNX-EVAL Board User s Guide. Micrel, Inc All rights reserved KSZ9031RNX Gigabit Ethernet Transceiver with RGMII Support KSZ9031RNX-EVAL Board User s Guide Revision 1.0 / June 2012 Micrel, Inc. 2012 All rights reserved Micrel is a registered trademark of Micrel and

More information

ARDUINO INDUSTRIAL 1 01 Code: A000126

ARDUINO INDUSTRIAL 1 01 Code: A000126 ARDUINO INDUSTRIAL 1 01 Code: A000126 The Industrial 101 is a small form-factor YUN designed for product integration. OVERVIEW: Arduino Industrial 101 is an Evaluation board for Arduino 101 LGA module.

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

Prototyping Module Datasheet

Prototyping Module Datasheet Prototyping Module Datasheet Part Numbers: MPROTO100 rev 002 Zenseio LLC Updated: September 2016 Table of Contents Table of Contents Functional description PROTOTYPING MODULE OVERVIEW FEATURES BLOCK DIAGRAM

More information

UltraZed -EV Starter Kit Getting Started Version 1.3

UltraZed -EV Starter Kit Getting Started Version 1.3 UltraZed -EV Starter Kit Getting Started Version 1.3 Page 1 Copyright 2018 Avnet, Inc. AVNET, Reach Further, and the AV logo are registered trademarks of Avnet, Inc. All other brands are the property of

More information

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8

CHAPTER 1 Introduction of the tnano Board CHAPTER 2 tnano Board Architecture CHAPTER 3 Using the tnano Board... 8 CONTENTS CHAPTER 1 Introduction of the tnano Board... 2 1.1 Features...2 1.2 About the KIT...4 1.3 Getting Help...4 CHAPTER 2 tnano Board Architecture... 5 2.1 Layout and Components...5 2.2 Block Diagram

More information

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited

SMT-FMC211. Quad DAC FMC. Sundance Multiprocessor Technology Limited Sundance Multiprocessor Technology Limited Form : QCF51 Template Date : 10 November 2010 Unit / Module Description: Quad DAC FMC Unit / Module Number: Document Issue Number: 1.1 Original Issue Date: 11

More information

ARDUINO M0 PRO Code: A000111

ARDUINO M0 PRO Code: A000111 ARDUINO M0 PRO Code: A000111 The Arduino M0 Pro is an Arduino M0 with a step by step debugger With the new Arduino M0 Pro board, the more creative individual will have the potential to create one s most

More information

ARDUINO LEONARDO WITH HEADERS Code: A000057

ARDUINO LEONARDO WITH HEADERS Code: A000057 ARDUINO LEONARDO WITH HEADERS Code: A000057 Similar to an Arduino UNO, can be recognized by computer as a mouse or keyboard. The Arduino Leonardo is a microcontroller board based on the ATmega32u4 (datasheet).

More information

ARDUINO PRIMO. Code: A000135

ARDUINO PRIMO. Code: A000135 ARDUINO PRIMO Code: A000135 Primo combines the processing power from the Nordic nrf52 processor, an Espressif ESP8266 for WiFi, as well as several onboard sensors and a battery charger. The nrf52 includes

More information

Digilab 2 XL Reference Manual

Digilab 2 XL Reference Manual 125 SE High Street Pullman, WA 99163 (509) 334 6306 (Voice and Fax) www.digilentinc.com PRELIMINARY Digilab 2 XL Reference Manual Revision: May 7, 2002 Overview The Digilab 2 XL (D2XL) development board

More information

How to Use an Arduino

How to Use an Arduino How to Use an Arduino By Vivian Law Introduction The first microcontroller, TMS-1802-NC, was built in 1971 by Texas Instruments. It owed its existence to the innovation and versatility of silicon and the

More information

Doc: page 1 of 9

Doc: page 1 of 9 chipkit DP32 Reference Manual Revision: July 10, 2013 Note: This document applies to REV B of the board. 1300 NE Henley Court, Suite 3 Pullman, WA 99163 (509) 334 6306 Voice (509) 334 6300 Fax Overview

More information

Copyright 2014 Xilinx

Copyright 2014 Xilinx IP Integrator and Embedded System Design Flow Zynq Vivado 2014.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

6LoWPAN Development Platform Saker Manual

6LoWPAN Development Platform Saker Manual 6LoWPAN Development Platform Saker Manual WEPTECH elektronik GmbH Page 1 of 19 V.1.0.1 1. Table of Content 1. General information... 4 1.1 1.2 1.3 1.4 1.5 Copyright protection... 4 Warranty information...

More information

chipkit Cmod Reference Manual Overview Revised November 6, 2013 This manual applies to REV E of the board.

chipkit Cmod Reference Manual Overview Revised November 6, 2013 This manual applies to REV E of the board. 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com chipkit Cmod Reference Manual Revised November 6, 2013 This manual applies to REV E of the board. Overview The chipkit Cmod is a chipkit/mpide

More information

chipkit Cmod Reference Manual Overview Revised November 6, 2013 This manual applies to the chipkit Cmod rev. E

chipkit Cmod Reference Manual Overview Revised November 6, 2013 This manual applies to the chipkit Cmod rev. E 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com chipkit Cmod Reference Manual Revised November 6, 2013 This manual applies to the chipkit Cmod rev. E Overview The chipkit Cmod is a

More information

Matrix-710. Linux-Ready Cortex-A5 Industry IoT Gateway. Hardware Guide. Version: Nov.

Matrix-710. Linux-Ready Cortex-A5 Industry IoT Gateway. Hardware Guide. Version: Nov. Matrix-710 Linux-Ready Cortex-A5 Industry IoT Gateway Hardware Guide Version: 1.01 2017 Nov. Copyright Artila Electronics Co., Ltd. All Rights Reserved Trademarks The Artila logo is a registered trademark

More information

Goal: We want to build an autonomous vehicle (robot)

Goal: We want to build an autonomous vehicle (robot) Goal: We want to build an autonomous vehicle (robot) This means it will have to think for itself, its going to need a brain Our robot s brain will be a tiny computer called a microcontroller Specifically

More information

chipkit WiFire Board Reference Manual May 12, 2014

chipkit WiFire Board Reference Manual May 12, 2014 chipkit WiFire Board Reference Manual May 12, 2014 Production Release The production boards of the WiFIRE are manufactured using the Microchip PIC32MZ2048EFG100 MCU. Earlier pre-production, Rev B and earlier,

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

Shack Clock kit. U3S Rev 2 PCB 1. Introduction

Shack Clock kit. U3S Rev 2 PCB 1. Introduction Shack Clock kit U3S Rev 2 PCB 1. Introduction Thank you for purchasing the QRP Labs Shack Clock kit. This clock uses the Ultimate3S QRSS/WSPR kit hardware, but a different firmware version. It can be used

More information

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions.

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions. Poblano 43C TM Gumstix, Inc. shall have no liability of any kind, express or implied, arising out of the use of the Information in this document, including direct, indirect, special or consequential damages.

More information

3.3V regulator. JA H-bridge. Doc: page 1 of 7

3.3V regulator. JA H-bridge. Doc: page 1 of 7 Digilent Cerebot Board Reference Manual Revision: 11/17/2005 www.digilentinc.com 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent Cerebot Board is a useful tool for

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

Breeze Board. Type A. User Manual.

Breeze Board. Type A. User Manual. Breeze Board Type A User Manual www.dizzy.co.za Contents Introduction... 3 Overview Top... 4 Overview Bottom... 5 Getting Started (Amicus Compiler)... 6 Power Circuitry... 7 USB... 8 Microcontroller...

More information

Product Specification

Product Specification Product Specification Features Amp ed RF, Inc. Description 15mm x 27mm The added class 1 power, +18dBm, of the BT-11, gives this module one of the best ranges in the industry. It s completely pin compatible

More information

pcduino V3B XC4350 User Manual

pcduino V3B XC4350 User Manual pcduino V3B XC4350 User Manual 1 User Manual Contents Board Overview...2 System Features...3 Single-Board Computer Configuration......3 Pin Assignments...4 Single-Board Computer Setup...6 Required Hardware...6

More information

ESPino - Specifications

ESPino - Specifications ESPino - Specifications Summary Microcontroller ESP8266 (32-bit RISC) WiFi 802.11 (station, access point, P2P) Operating Voltage 3.3V Input Voltage 4.4-15V Digital I/O Pins 9 Analog Input Pins 1 (10-bit

More information

DP-8020 Hardware User Guide. UG1328 (v 1.20) December 6, 2018

DP-8020 Hardware User Guide. UG1328 (v 1.20) December 6, 2018 DP-8020 Hardware User Guide Revision History The following table shows the revision history for this document. Section General updates Revision Summary 12/06/2018 Version 1.0 Initial Xilinx release. DP-8020

More information

VLSI AppNote: VSx053 Simple DSP Board

VLSI AppNote: VSx053 Simple DSP Board : VSx053 Simple DSP Board Description This document describes the VS1053 / VS8053 Simple DPS Board and the VSx053 Simple DSP Host Board. Schematics, layouts and pinouts of both cards are included. The

More information

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017)

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) PCI Host Controller 14a Hardware Reference 1 PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the PCI bus according to the PCI/104 specification.

More information

Copyright 2017 Xilinx.

Copyright 2017 Xilinx. All Programmable Automotive SoC Comparison XA Zynq UltraScale+ MPSoC ZU2/3EG, ZU4/5EV Devices XA Zynq -7000 SoC Z-7010/7020/7030 Devices Application Processor Real-Time Processor Quad-core ARM Cortex -A53

More information

Introduction to Embedded System Design using Zynq

Introduction to Embedded System Design using Zynq Introduction to Embedded System Design using Zynq Zynq Vivado 2015.2 Version This material exempt per Department of Commerce license exception TSU Objectives After completing this module, you will be able

More information

UM LPCXpresso Rev th November Document information. LPCXpresso54102, LPC54100 LPCXpresso54102 User Manual

UM LPCXpresso Rev th November Document information. LPCXpresso54102, LPC54100 LPCXpresso54102 User Manual LPCXpresso54102 Rev. 1.0 4th November 2014 User manual Document information Info Content Keywords LPCXpresso54102, LPC54100 Abstract LPCXpresso54102 User Manual Revision history Rev Date Description 1.0

More information

MicroBolt. Microcomputer/Controller Featuring the Philips LPC2106 FEATURES

MicroBolt. Microcomputer/Controller Featuring the Philips LPC2106 FEATURES Microcomputer/Controller Featuring the Philips LPC2106 FEATURES Powerful 60 MHz, 32-bit ARM processing core. Pin compatible with 24 pin Stamp-like controllers. Small size complete computer/controller with

More information

ARDUINO MICRO WITHOUT HEADERS Code: A000093

ARDUINO MICRO WITHOUT HEADERS Code: A000093 ARDUINO MICRO WITHOUT HEADERS Code: A000093 Arduino Micro is the smallest board of the family, easy to integrate it in everyday objects to make them interactive. The Micro is based on the ATmega32U4 microcontroller

More information

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM FRDM-KL02Z User Manual Rev. 0 Freescale Semiconductor Inc. FRDMKL02ZUM 1. Overview The Freescale Freedom development platform is an evaluation and development tool ideal for rapid prototyping of microcontroller-based

More information

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet

HZX N03 Bluetooth 4.0 Low Energy Module Datasheet HZX-51822-16N03 Bluetooth 4.0 Low Energy Module Datasheet SHEN ZHEN HUAZHIXIN TECHNOLOGY LTD 2017.7 NAME : Bluetooth 4.0 Low Energy Module MODEL NO. : HZX-51822-16N03 VERSION : V1.0 1.Revision History

More information

Web Site: Forums: forums.parallax.com Sales: Technical:

Web Site:  Forums: forums.parallax.com Sales: Technical: Web Site: www.parallax.com Forums: forums.parallax.com Sales: sales@parallax.com Technical: support@parallax.com Office: (916) 624-8333 Fax: (916) 624-8003 Sales: (888) 512-1024 Tech Support: (888) 997-8267

More information

Arduino Dock 2. The Hardware

Arduino Dock 2. The Hardware Arduino Dock 2 The Arduino Dock 2 is our supercharged version of an Arduino Uno R3 board. These two boards share the same microcontroller, the ATmel ATmega328P microcontroller (MCU), and have identical

More information

Propeller Project Board USB (#32810)

Propeller Project Board USB (#32810) Web Site: www.parallax.com Forums: forums.parallax.com Sales: sales@parallax.com Technical: support@parallax.com Office: (916) 624-8333 Fax: (916) 624-8003 Sales: (888) 512-1024 Tech Support: (888) 997-8267

More information

PLC Stamp 1200 micro Datasheet

PLC Stamp 1200 micro Datasheet PLC Stamp 1200 micro Datasheet I2SE GmbH June 28, 2017 1/12 CONTENTS CONTENTS Contents 1 Abstract 3 2 Applications 3 3 Interfaces 3 4 Handling 4 5 Module overview 4 6 Technical Data 6 6.1 Absolute Maximum

More information

Hardware Specification. Figure 1-2 ZYNQ-7000 Device Family 2 / 9

Hardware Specification. Figure 1-2 ZYNQ-7000 Device Family 2 / 9 Z-turn Board 667MHz Xilinx XC7Z010/20 Dual-core ARM Cortex-A9 Processor with Xilinx 7-series FPGA logic 1GB DDR3 SDRAM (2 x 512MB, 32-bit), 16MB SPI Flash USB_UART, USB2.0 OTG, 1 x 10/100/1000Mbps Ethernet,

More information

Opal Kelly. XEM6002 User s Manual

Opal Kelly. XEM6002 User s Manual Opal Kelly XEM6002 User s Manual A business-card sized (3.5 x 2.0 ) semiconductor evaluation platform featuring the Xilinx Spartan-6 FPGA and four Pmod TM connectors. The XEM6002 is a small, business-card

More information

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG

EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG EMBEDDED SYSTEMS WITH ROBOTICS AND SENSORS USING ERLANG Adam Lindberg github.com/eproxus HARDWARE COMPONENTS SOFTWARE FUTURE Boot, Serial console, Erlang shell DEMO THE GRISP BOARD SPECS Hardware & specifications

More information

LinkSprite Technologies,.Inc. pcduino V2

LinkSprite Technologies,.Inc. pcduino V2 1 2 Contents Board Overview...3 System Features...4 Single-Board Computer Configuration...5 Pin Assignments...7 Single-Board Computer Setup...9 Required Hardware...9 Optional Hardware...9 Adjusting Screen

More information

Arduino Uno R3 INTRODUCTION

Arduino Uno R3 INTRODUCTION Arduino Uno R3 INTRODUCTION Arduino is used for building different types of electronic circuits easily using of both a physical programmable circuit board usually microcontroller and piece of code running

More information

Intel Stratix 10 SoC Device Design Guidelines

Intel Stratix 10 SoC Device Design Guidelines Intel Stratix 10 SoC Device Design Guidelines Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Introduction to the...4

More information

TLE9869 Eval.Kit V1.0 Users Manual

TLE9869 Eval.Kit V1.0 Users Manual TLE9869 Eval.Kit V1.0 Users Manual Contents Abbreviations... 2 1 Concept... 3 2 Interconnects... 4 3 Test Points... 5 4 Jumper Settings... 6 5 Communication Interfaces... 7 5.1 LIN (via Banana jack and

More information

Opal Kelly. XEM3001v2 User s Manual. A business-card sized (3.5 x 2.0 ) experimentation board featuring the Xilinx Spartan 3 FPGA.

Opal Kelly. XEM3001v2 User s Manual. A business-card sized (3.5 x 2.0 ) experimentation board featuring the Xilinx Spartan 3 FPGA. Opal Kelly XEM3001v2 User s Manual A business-card sized (3.5 x 2.0 ) experimentation board featuring the Xilinx Spartan 3 FPGA. The XEM3001 is a small, business-card sized FPGA board featuring the Xilinx

More information

The industrial technology is rapidly moving towards ARM based solutions. Keeping this in mind, we are providing a Embedded ARM Training Suite.

The industrial technology is rapidly moving towards ARM based solutions. Keeping this in mind, we are providing a Embedded ARM Training Suite. EMBEDDED ARM TRAINING SUITE ARM SUITE INCLUDES ARM 7 TRAINER KIT COMPILER AND DEBUGGER THROUGH JTAG INTERFACE PROJECT DEVELOPMENT SOLUTION FOR ARM 7 e-linux LAB FOR ARM 9 TRAINING PROGRAM INTRODUCTION

More information

ISA Host Controller 15a Hardware Reference Release 1.2 (October 16, 2017)

ISA Host Controller 15a Hardware Reference Release 1.2 (October 16, 2017) ISA Host Controller 15a Hardware Reference 1 ISA Host Controller 15a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the ISA bus according to the PC/104 specification.

More information

PIC-32MX development board Users Manual

PIC-32MX development board Users Manual PIC-32MX development board Users Manual All boards produced by Olimex are ROHS compliant Rev.A, June 2008 Copyright(c) 2008, OLIMEX Ltd, All rights reserved INTRODUCTION: The NEW PIC-32MX board uses the

More information

MEGATRONICS v3.0 DATASHEET

MEGATRONICS v3.0 DATASHEET MEGATRONICS v3.0 DATASHEET Author Bart Meijer Date 10th of June 2014 Document version 1.2 ReprapWorld.com Megatronics Datasheet Reprapworld.com 1 PRODUCT OVERVIEW Megatronics is based on many famous open-source

More information

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM Get to Know the TWR-VF65GS10 Dual Quad SPI K20 JTAG Header UART Selection

More information

Mega128-Net Mega128-Net Mega128 AVR Boot Loader Mega128-Net

Mega128-Net Mega128-Net Mega128 AVR Boot Loader Mega128-Net Mega128-Net Development Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN 46268 (317) 471-1577 (317) 471-1580 FAX http://www.prllc.com GENERAL The Mega128-Net development board is designed

More information

Parallella Linux - quickstart guide. Antmicro Ltd

Parallella Linux - quickstart guide. Antmicro Ltd Parallella Linux - quickstart guide Antmicro Ltd June 13, 2016 Contents 1 Introduction 1 1.1 Xilinx tools.......................................... 1 1.2 Version information.....................................

More information

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6

Revision: May 11, E Main Suite D Pullman, WA (509) Voice and Fax LED. Doc: page 1 of 6 Digilent XC2-XL System Board Reference Manual www.digilentinc.com Revision: May 11, 2004 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voice and Fax Overview The Digilent XC2-XL System Board (the

More information

Symphony SoundBite Reference Manual

Symphony SoundBite Reference Manual Symphony SoundBite Reference Manual Document Number: SNDBITERM Rev. 2.0 09/2008 Contents Section 1, Introduction page 2 Section 2, Functional Blocks page 3 Section 3, Configuration and Connections page

More information

Simplify System Complexity

Simplify System Complexity Simplify System Complexity With the new high-performance CompactRIO controller Fanie Coetzer Field Sales Engineer Northern South Africa 2 3 New control system CompactPCI MMI/Sequencing/Logging FieldPoint

More information

COM-RZN1D - Hardware Manual

COM-RZN1D - Hardware Manual COM-RZN1D - Hardware Manual Hardware Manual 4 / 01.10.2018 emtrion GmbH Copyright 2018 emtrion GmbH All rights reserved. This documentation may not be photocopied or recorded on any electronic media without

More information

xpico 200 Series Evaluation Kit User Guide

xpico 200 Series Evaluation Kit User Guide xpico 200 Series Evaluation Kit User Guide This guide describes how to setup the xpico 200 series evaluation kit and provides the information needed to evaluate the included xpico 240 or xpico 250 embedded

More information

HYDRA-X23/X23S. Power Application Controllers. PAC HYDRA-X User s Guide. Copyright 2014 Active-Semi, Inc.

HYDRA-X23/X23S. Power Application Controllers. PAC HYDRA-X User s Guide.   Copyright 2014 Active-Semi, Inc. HYDRA-X23/X23S Power Application Controllers PAC5223 - HYDRA-X User s Guide www.active-semi.com Copyright 2014 Active-Semi, Inc. CONTENTS Contents...2 Overview...3 HYDRA-X23/X23S Body Resources...5 Header

More information

How to create your own peripheral modules for use with the ARC EMSK and embarc

How to create your own peripheral modules for use with the ARC EMSK and embarc How to create your own peripheral modules for use with the ARC EMSK and embarc Overview embarc is an open software platform designed to help accelerate the development and production of embedded systems

More information

chipkit Network Shield Reference Manual Overview Revised February 26, 2016 This manual applies to the chipkit Network Shield rev.

chipkit Network Shield Reference Manual Overview Revised February 26, 2016 This manual applies to the chipkit Network Shield rev. 1300 Henley Court Pullman, WA 99163 509.334.6306 www.digilentinc.com chipkit Network Shield Reference Manual Revised February 26, 2016 This manual applies to the chipkit Network Shield rev. E Overview

More information

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions.

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions. Pepper 43R TM Gumstix, Inc. shall have no liability of any kind, express or implied, arising out of the use of the Information in this document, including direct, indirect, special or consequential damages.

More information

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide. Copyright 2017 Active-Semi, Inc.

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide.   Copyright 2017 Active-Semi, Inc. PAC5523EVK1 Power Application Controllers PAC5523EVK1 User s Guide www.active-semi.com Copyright 2017 Active-Semi, Inc. CONTENTS Contents...2 Overview...3 PAC5523EVK1 Resources...5 Pinout and Signal Connectivity...5

More information