Chapter 5 Embedded Soft Core Processors

Size: px
Start display at page:

Download "Chapter 5 Embedded Soft Core Processors"

Transcription

1 Embedded Soft Core Processors

2 Coarse Grained Architecture. The programmable gate array (PGA) has provided the opportunity for the design and implementation of a soft core processor in embedded design. Although the early coarse grained complex programmable logic device (CPLD) had limited capabilities and resources, soft core processors could still be implemented within their restricted structure. Figure 1.2 Simplified depiction of the Xilinx Spartan FPGA architecture

3 Fine Grained Architecture. The coarse gained CPLD is an assembly of macrocells and a programmable interconnection system without the benefit of the random access memory (RAM) of the recent fine grained PGA devices. Figure 1.3 Simplified depiction of a corner segment of the Xilinx Spartan -3E FPGA architecture

4 Xilinx EDK. The optional Xilinx Embedded Development Kit (EDK) supports the 32-bit MicroBlaze reduced instruction set computer (RISC) soft core processor. The Xilinx EDK includes the Xilinx Platform Studio (XPS) and Software Development Kit (SDK) which have an integrated Development environment (IDE). GNU C/C++ compilers, debuggers and software utilities are provided for the MicroBlaze processor in the SDK.

5 Xilinx EDK. Support for the 32-bit MicroBlaze processor in the Xilinx EDK is extended to on-chip busing and operating systems (OS). The Xilinx Microkernel for the MicroBlaze processor is a modular library of nucleus system calls for OS services.

6 Xilinx EDK. The processor local bus (PLB), on-chip peripheral bus (OPB) and soft core peripherals facilitate the concept of the system on a chip (SOC) in embedded design.

7 Xilinx PicoBlaze. The Xilinx ISE WebPACK can be configured to provide an electronic design automation (EDA) environment for the 8-bit 8 PicoBlaze soft core processor, a separate download and installation procedure is required.

8 Xilinx PicoBlaze. Originally termed the Constant (k) Coded Programmable State Machine (KCPSM) (or more appropriately Ken Chapman s s Programmable State Machine after the Xilinx system designer who first implemented it) it is now called the Xilinx PicoBlaze.

9 Xilinx PicoBlaze EDK. The PicoBlaze processor EDA software tools as a partial EDK for the Spartan-3E field programmable gate array (FPGA) are downloaded as a ZIP archive file KCPSM3.zip and extracted to a working directory. ( Figure 5.1

10 Xilinx PicoBlaze EDK. The subfolders include an Assembler, Verilog files, a JTAG design file loader and a manual KCPSM3_Manual.pdf. The PicoBlaze processor is also described in a User Guide (UG129, Figure 5.1

11 Xilinx PicoBlaze EDK. The PicoBlaze processor is provided as a synthesizable Verilog hardware description language (HDL) module kcpsm3.v in the Verilog subfolder. The Verilog module embedded_kcpsm3.v instantiates the PicoBlaze processor in the Xilinx ISE WebPACK project and connects it to a Spartan-3E FPGA block RAM as program memory. Figure 5.2

12 Xilinx PicoBlaze DOS EDA. The KCPSM Assembler for the PicoBlaze processor executes in a DOS based environment in a Command Prompt window in Microsoft Windows XP and Vista.

13 Xilinx PicoBlaze DOS EDA. Note that DOS command line functions are used, such as dir for a directory listing, and names greater than eight characters or are truncated as in PICOBL~1 for PICOBLAZE in the directory tree.

14 Xilinx PicoBlaze DOS EDA. The files in the Assembler folder include the KCPSM3.EXE assembler. The assembled PicoBlaze processor program is stored in the block random access memory (RAM) of the Spartan-3E FPGA configured as read-only memory (ROM). Figure 5.4

15 Xilinx PicoBlaze DOS EDA. The extension for a PicoBlaze assembly language source file is.psm and the output is the instruction code Verilog module that replaces the ROM_form.v template which configures the block RAM. Figure 5.4

16 Xilinx PicoBlaze DOS EDA. The files KCPSM3.EXE, ROM_form.v and ROM_form.coe are copied into the Xilinx ISE WebPACK project directory. A Command Prompt or DOS box window can be opened and the PicoBlaze source file name.psm assembled with the command: kcpsm3 name.psm. Figure 5.4

17 Xilinx PicoBlaze DOS EDA. A PicoBlaze program is reconfigured by the auxiliary EDA software JTAG loader. The files in the JTAG_loader subfolder include the hex2svfsetup.exe, hex2svf.exe and svf2xsvf.exe, where SVF is the serial vector format protocol. Figure 5.5

18 Xilinx PicoBlaze DOS EDA. The hex2svfsetup.exe utility is used to describe the JTAG chain on the target hardware board and is executed once in a DOS Command Prompt window. Listing 5.1

19 Xilinx PicoBlaze DOS EDA. The input to the hex to SVF setup utility is the configuration of JTAG chain and the instruction length of the other devices in the chain. The instruction length can also be obtained from the IEEE standard boundary-scan description language (BSDL) file of the FPGA, CPLD or flash programmable read-only memory (PROM) device ( Figure 5.6

20 Xilinx PicoBlaze DOS EDA. A translation to a Xilinx SVF format is then performed by the utility svf2xsvf.exe by the DOS command: svf2xsvf newname.svf newname.xsvf. Finally, the transfer utility playxsvf.exe is used to download the new PicoBlaze design to the Spartan-3E FPGA by the DOS command: playxsvf name.xsvf. Figure 5.6

21 Xilinx PicoBlaze Architecture. The Xilinx PicoBlaze is an 8-bit 8 reduced instruction set computer (RISC) soft core processor optimized for efficiency and very low use of the available resources of the Xilinx field programmable gate arrays (FPGA). Figure 5.7

22 Xilinx PicoBlaze Architecture. The PicoBlaze processor does not require any external resources, such as random access memory (RAM) and uses only a minuscule 96 occupied slices and a single block RAM for program memory. Figure 5.7

23 Xilinx PicoBlaze Architecture. The PicoBlaze processor shows the use of 76 slice flip-flops flops (1%), input 4 LUTs (2%), 96 occupied slices (2%) and a total of equivalent gates in the XC3S500E Spartan-3E FPGA synthesis. Figure 5.7

24 Xilinx PicoBlaze Architecture. The PicoBlaze processor features 16 8-bit 8 general data registers and 1024 (1 K) 18- bit program locations typically using a single block RAM. Figure 5.7

25 Xilinx PicoBlaze Architecture. The PicoBlaze processor has an 8-bit 8 arithmetic logic unit (ALU), a 64 byte internal scratchpad RAM, 256 input and 256 output ports and a 31 location stack for subroutine call and return. Figure 5.7

26 Xilinx PicoBlaze Architecture. The 10-bit program counter (PC) addresses the 1 K program memory and indirect addressing is not supported. Figure 5.7

27 Xilinx PicoBlaze Architecture. Unlike several traditional microprocessor architectures, the Xilinx PicoBlaze processor does not have a specific accumulator and any of the general purpose registers can be utilized.

28 Xilinx PicoBlaze Architecture. The ALU provides addition, subtraction, arithmetic and bitwise compare and test and shift and rotate operations. ALU operations affect the zero and carry flags and interrupts can be enabled (IE). Figure 5.7

29 Xilinx PicoBlaze Architecture. The reset input (RESET) of the PicoBlaze processor sets the PC to 0, clears the zero and carry flags, disables interrupts and the sets the stack pointer to the top of the stack. Figure 5.7

30 Xilinx PicoBlaze IO. The input-output (IO) interface signals to and from the PicoBlaze processor demonstrates the usefulness of this architecture as a controller. The 8-bit input data port IN_PORT provides data on the rising edge of the clock with the INPUT instruction. Figure 5.8

31 Xilinx PicoBlaze IO. Data appears on the 8-bit 8 output port OUT_PORT for two clock cycles during the OUTPUT instruction. The input or output port address appears on the 8-bit 8 PORT_ID during the INPUT or OUTPUT instruction. Figure 5.8

32 Xilinx PicoBlaze IO. The INPUT and OUTPUT instructions can be either directly address as an 8-bit 8 immediate constant or indirectly addressed as the contents of any of the general purpose registers. Figure 5.8

33 Xilinx PicoBlaze IO. The READ_STROBE output when logic 1 indicates that the input data was captured and the WRITE_STROKE output when logic 1 indicates validates the output data. Figure 5.8

34 Xilinx PicoBlaze IO. The IO operations of the PicoBlaze processor are convenient to use as a controller or finite state machine (FSM) for low speed peripherals in an embedded design, such as the liquid crystal display (LCD) or auxiliary PS/2 mouse and keyboard of the Spartan-3E Starter Board. Figure 5.8

35 Xilinx PicoBlaze IO. The PicoBlaze processor operates at the maximum clock frequency of the Xilinx FPGA, which for the Spartan-3E (-4( 4 speed grade) FPGA is 88 MHz and utilizes two clock cycles per instruction. Figure 5.8

36 Xilkinx PicoBlaze IO. When used as a controller or FSM for low speed peripherals and processes in an embedded design the PicoBlaze can operate at a lower clock frequency which reduces idle clock cycles and lowers DC power consumption. The PicoBlaze processor is a fully static logic design and can actually operate down to DC. Figure 5.8

37 Xilinx PicoBlaze. The Xilinx PicoBlaze processor instruction set is more expansive that merely the INPUT and OUTPUT instructions. The instruction set is standard and includes add and subtract with and without the carry (borrow) flag for multiple byte arithmetic.

38 Xilinx PicoBlaze. The Xilinx PicoBlaze processor instruction set has unconditional and condition subroutine call and comparison and test of unaffected registers using the zero and carry flags and bitwise combination logic operations (AND, OR and XOR).

39 Xilinx PicoBlaze. The Xilinx PicoBlaze processor instruction set has store and fetch data to the scratchpad RAM, rotate and shift the general purpose registers and disabling or enabling and processing asynchronous interrupts.

40 Xilinx PicoBlaze. The extensive PicoBlaze processor User Guide (UG129, ) provides complete details of the architecture, interface signals, performance, assembler directives and EDA software tools.

41 Xilinx PicoBlaze. The performance of an embedded design using a soft core processor can be maximized if multiple PicoBlaze processors partition the IO tasks and coordinate their operation using semaphores implemented with the input and output ports.

42 Xilinx PicoBlaze. The reduced number of IO ports on a single PicoBlaze processor then simplifies the input data multiplexing and decoding of the 8-bit 8 IO address. If only one or two IO ports are needed, then no multiplexing or decoding is necessary.

43 Xilinx PicoBlaze. If eight or less IO ports are used, then a single input multiplexer for binary encoding of IN_PORT and an efficient one-hot encoding of OUT_PORT is required.

44 Xilinx PicoBlaze. An innovative application in embedded design is to use the PicoBlaze processor as an on-chip test and debugging processor. The rapid download of new PicoBlaze soft-core processor code using the JTAG loader without resynthesizing the FPGA hardware provides a means of inputting a new test vector to verify the performance of the logic.

45 Xilinx PicoBlaze Reference Projects. The programmable amplifier (PA) and analog-to to-digital converter (ADC) Xilinx PicoBlaze reference project for the Spartan-3E Starter Board is available as a ZIP archive file. The DOS batch file install_picoblaze_amp_ adc_ control.bat opens a DOS Command Prompt window and runs the Xilinx ISE impact programming tool to download the project. Figure 5.11

46 Xilinx PicoBlaze Reference Projects. The PA-ADC ADC Xilinx PicoBlaze project initializes the PA and the LCD, initiates an analog signal conversion to digital data by the ADC, reads the data using the serial peripheral interface (SPI) bus and displays the results on the LCD. Figure 5.12

47 Xilinx PicoBlaze Reference Projects. The PA-ADC ADC Xilinx PicoBlaze project initializes the PA and the LCD, initiates an analog signal conversion to digital data by the ADC, reads the data using the serial peripheral interface (SPI) bus and displays the results on the LCD. Figure 5.12

48 Xilinx PicoBlaze Reference Projects. The digital-to to-analog converter (DAC) Xilinx PicoBlaze reference project for the Spartan-3E Starter Board is available as a ZIP archive file. The DOS batch file install_picoblaze_dac_control.bat opens a DOS Command Prompt window and runs the Xilinx ISE impact programming tool to download the project. Figure 5.13

49 Xilinx PicoBlaze Reference Projects. The DAC Xilinx PicoBlaze project initiates a four channel digital data transfer using the SPI bus to the DAC, conversion and outputting the four analog signals by the DAC. Figure 5.14 Figure 5.15

50 Xilinx PicoBlaze Reference Projects. The DAC Xilinx PicoBlaze project outputs a 2 khz square wave on DAC A, 200 Hz triangle wave on DAC B, another 2 khz square wave on DAC C and an approximate 770 Hz sine wave on DAC D. Figure 5.14 Figure 5.15

51 Xilinx PicoBlaze Reference Projects. The PicoBlaze processor is interrupted to generate the four analog signal outputs every 125 µsec or an 8 khz sampling rate Figure 5.14 Figure 5.15

52 Xilinx PicoBlaze Reference Projects. An equivalent Verilog HDL project is the top module s3esincosdtmf.v which generates a dual-tone multiple frequency (DTMF) audio signal using the Xilinx CORE Sine-Cosine Look-Up Table LogiCORE block. In that project the rate Figure 4.24 of the sinusoidal tone generation is at the design maximum of the DAC of the Spartan-3E Starter Board of 1.47 Msamples /sec.

53 Xilinx PicoBlaze Reference Projects. The frequency generator Xilinx PicoBlaze reference project for the Spartan-3E Starter Board is available as a ZIP archive file. The DOS batch file install_frequency_generator.bat opens a DOS Command Prompt window and runs the Xilinx ISE impact programming tool to download the project. Figure 5.16

54 Xilinx PicoBlaze Reference Projects. The frequency generator Xilinx PicoBlaze reference project uses a configured DDS circuit, rather than the Xilinx CORE Generator DDS LogiCORE block, to output a square wave at a frequency of 1 Hz to approximately 100 MHz. The rotary shaft encoder and the LEDs to provide an editor that can modify the individual output frequency digit values. Figure 5.17

55 Xilinx PicoBlaze Reference Projects. The frequency counter Xilinx PicoBlaze reference project for the Spartan-3E Starter Board is available as a ZIP archive file. The DOS batch file install_frequency_generator.bat opens a DOS Command Prompt window and runs the Xilinx ISE impact programming tool to download the project. Figure 5.18

56 Xilinx PicoBlaze Reference Projects. The Xilinx PicoBlaze project initial display selects one of four input signals used to the frequency counter. The reference clock oscillator for the frequency counter is the nominal 50 MHz crystal clock oscillator on the Spartan-3E Starter Board. Figure 5.19 Figure 5.20

57 Xilinx PicoBlaze Reference Projects. The pulse width modulation (PWM) and control Xilinx PicoBlaze reference project for the Spartan-3E Starter Board is available as a ZIP archive file. The DOS batch file install_ picoblaze_ pwm_control.bat opens a DOS Command Prompt window and runs the Xilinx ISE impact programming tool to download the project. Figure 5.21

58 Xilinx PicoBlaze Reference Projects. The first two channels of the eight channel output of the PWM Xilinx PicoBlaze project is shown. The pulse period is 1 msec and the approximate duty cycle for channel 1 is 10% and that of channel 2 is 75%. Figure 5.22

59 Xilinx PicoBlaze Reference Projects. The PWM Xilinx PicoBlaze project has a data throughput rate that is a function of the number of channels, the pulse width resolution and the pulse frequency or = Figure 5.22

60 Xilinx PicoBlaze Reference Projects. Although the PicoBlaze can utilize an 88 MHz clock, the highest clock frequency available for the XC3S500E (-4( 4 speed) Spartan-3E FPGA, the soft core processor uses the 50 MHz crystal oscillator of the Spartan-3E Starter Board. Figure 5.22

61 Xilinx PicoBlaze Reference Projects. The PicoBlaze soft core processor requires two clock cycles per instruction or an instruction period of 40 nsec. Therefore in the µsec available between a PWM step only about 97 PicoBlaze processor instructions can be executed. Figure 5.22

62 Xilinx PicoBlaze Reference Projects. Although the PWM process here requires only approximately half of the available instructions, if either the channels, resolution or pulse frequency is doubled, the number of instructions available is halved and the PicoBlaze soft core processor could not perform the real-time task. This is certainly problematic for all sequential processors such as the PicoBlaze. Figure 5.22

63 Soft Core Processors. Both the Xilinx 8-bit 8 PicoBlaze soft core processor and the Verilog HDL controller and datapath construct can utilize soft core peripherals to augment their performance and functionality in an embedded design.

64 Soft Core Processors. However, the Xilinx LogiCORE blocks often require more than 8-bits 8 of data. External latches and logic would be required to interface the PicoBlaze soft core processor.

65 Soft Core Processors. Other soft core peripherals are quite comparable with the Xilinx 8-bit 8 PicoBlaze soft core processor. The soft core universal asynchronous receiver transmitter (UART) can be used to provide RS-232 standard serial data communication in an embedded design.

66 Soft Core Processors. Alternatively, the Verilog HDL controller and datapath construct is readily extensible and interfacing to a LogiCORE block is therefore not so problematic.

67 Soft Core Processors. The Xilinx MicroBlaze 32-bit RISC soft core processor can conveniently interface to the Xilinx LogiCORE blocks and Xilinx and third-party Output Peripheral Bus (OPB) soft core peripheral devices.

68 Soft Core Processors. The Xilinx PicoBlaze soft core processor and the Verilog HDL controller and datapath construct can also interact synergistically through the interface. An embedded design then would consist of processing elements appropriate for the task.

69 Soft Core Processors. Nevertheless, the Verilog HDL controller and datapath construct is seemingly more suited to high throughput internal soft peripherals and external hard peripherals, such as a digital-to to-analog converter (DAC) and an analog-to to- digital converter (ADC) in a wavefront digital signal processing (DSP) systems.

70 End of Embedded Soft Core Processors

Agenda. PicoBlaze Hello World project using the PicoBlaze. Class 9 Copyright 2011 Greg Tumbush Ver 1.0 1

Agenda. PicoBlaze Hello World project using the PicoBlaze. Class 9 Copyright 2011 Greg Tumbush Ver 1.0 1 Agenda PicoBlaze Hello World project using the PicoBlaze Class 9 Copyright 2011 Greg Tumbush Ver 1.0 1 Embedded Processor Why? Firmware is easier to maintain/modify/deploy If the constraints of you system

More information

How-To User Guide: Working with PicoBlaze on an FPGA. By: Sean Farner Peter Frandina

How-To User Guide: Working with PicoBlaze on an FPGA. By: Sean Farner Peter Frandina How-To User Guide: Working with PicoBlaze on an FPGA By: Sean Farner Peter Frandina Date: 5/20/2008 Table of Contents 1.0 Background Information...3 2.0 File Setup...3 3.0 Editing Source Files...3 4.0

More information

Implementing a PicoBlaze microprocessor structure (state machine) using a reconfigurable hardware medium

Implementing a PicoBlaze microprocessor structure (state machine) using a reconfigurable hardware medium Laboratory number 3 Implementing a PicoBlaze microprocessor structure (state machine) using a reconfigurable hardware medium Purpose of the laboratory: A PicoBlaze TM processor version for Virtex, Virtex-E,

More information

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs

V8-uRISC 8-bit RISC Microprocessor AllianceCORE Facts Core Specifics VAutomation, Inc. Supported Devices/Resources Remaining I/O CLBs V8-uRISC 8-bit RISC Microprocessor February 8, 1998 Product Specification VAutomation, Inc. 20 Trafalgar Square Nashua, NH 03063 Phone: +1 603-882-2282 Fax: +1 603-882-1587 E-mail: sales@vautomation.com

More information

EE 459/500 HDL Based Digital Design with Programmable Logic

EE 459/500 HDL Based Digital Design with Programmable Logic EE 459/500 HDL Based Digital Design with Programmable Logic Lecture 17 From special-purpose FSMD to general-purpose microcontroller: Xilinx s PicoBlaze 1 Overview From FSMD to Microcontroller PicoBlaze

More information

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor

PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor PS2 VGA Peripheral Based Arithmetic Application Using Micro Blaze Processor K.Rani Rudramma 1, B.Murali Krihna 2 1 Assosiate Professor,Dept of E.C.E, Lakireddy Bali Reddy Engineering College, Mylavaram

More information

Changes in timetable (changes in GREEN)

Changes in timetable (changes in GREEN) Changes in timetable (changes in GREEN) Wk12: May25 29 HLAB5 PICOBLAZE assembly Wk13: Jun1 5 Still hand in assignment on Wk13 Jun5 C.O.B. Wks14 15 (Jun8 19) Hardware tests Exam on Jun 22 Project lab I

More information

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition Table of Contents 1. Introduction to Digital Logic 1 1.1 Background 1 1.2 Digital Logic 5 1.3 Verilog 8 2. Basic Logic Gates 9

More information

Chapter 1 Microprocessor architecture ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 1.1 Computer hardware organization 1.1.1 Number System 1.1.2 Computer hardware

More information

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY CHAPTER 5 : Introduction to Intel 8085 Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY The 8085A(commonly known as the 8085) : Was first introduced in March 1976 is an 8-bit microprocessor with 16-bit address

More information

Microprocessors/Microcontrollers

Microprocessors/Microcontrollers Microprocessors/Microcontrollers A central processing unit (CPU) fabricated on one or more chips, containing the basic arithmetic, logic, and control elements of a computer that are required for processing

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

PicoBlaze 8-bit Embedded Microcontroller

PicoBlaze 8-bit Embedded Microcontroller PicoBlaze 8-bit Embedded Microcontroller 1 PicoBlaze Overview PicoBlaze is a simple 8-bit microcontroller Compact in size Occupies 96 slices in a Spartan-3 (5% of an XC3S200) Performance is respectable

More information

Microcomputer Architecture and Programming

Microcomputer Architecture and Programming IUST-EE (Chapter 1) Microcomputer Architecture and Programming 1 Outline Basic Blocks of Microcomputer Typical Microcomputer Architecture The Single-Chip Microprocessor Microprocessor vs. Microcontroller

More information

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing 3-. 3-.2 Learning Outcomes Spiral 3 Hardware/Software Interfacing I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides the agreement

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices,

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, CISC and RISC processors etc. Knows the architecture and

More information

Impulse Embedded Processing Video Lab

Impulse Embedded Processing Video Lab C language software Impulse Embedded Processing Video Lab Compile and optimize Generate FPGA hardware Generate hardware interfaces HDL files ISE Design Suite FPGA bitmap Workshop Agenda Step-By-Step Creation

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

Microcontrollers. Principles and Applications. Ajit Pal +5 V 2K 8. 8 bit dip switch. P2 8 Reset switch Microcontroller AT89S52 100E +5 V. 2.

Microcontrollers. Principles and Applications. Ajit Pal +5 V 2K 8. 8 bit dip switch. P2 8 Reset switch Microcontroller AT89S52 100E +5 V. 2. Ajit Pal Microcontrollers Principles and Applications +5 V 2K 8 8 bit dip switch P2 8 Reset switch Microcontroller AT89S52 100E +5 V +5 V 2.2K 10 uf RST 7 Segment common anode LEDs P1(0-6) & P3(0-6) 7

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

CS/EE Prerequsites. Hardware Infrastructure. Class Goal CS/EE Computer Design Lab. Computer Design Lab Fall 2010

CS/EE Prerequsites. Hardware Infrastructure. Class Goal CS/EE Computer Design Lab. Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand MEB 3142 Office Hours: After class, when

More information

CS/EE Computer Design Lab Fall 2010 CS/EE T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand

CS/EE Computer Design Lab Fall 2010 CS/EE T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand CS/EE 3710 Computer Design Lab Fall 2010 CS/EE 3710 Computer Design Lab T Th 3:40pm-5:00pm Lectures in WEB 110, Labs in MEB 3133 (DSL) Instructor: Erik Brunvand MEB 3142 Office Hours: After class, when

More information

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan

UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UPY14602-DIGITAL ELECTRONICS AND MICROPROCESSORS Lesson Plan UNIT I - NUMBER SYSTEMS AND LOGIC GATES Introduction to decimal- Binary- Octal- Hexadecimal number systems-inter conversions-bcd code- Excess

More information

PicoBlaze 8-bit Embedded Microcontroller User Guide

PicoBlaze 8-bit Embedded Microcontroller User Guide PicoBlaze 8-bit Embedded Microcontroller User Guide for Spartan-3, Virtex-II, and Virtex-II Pro FPGAs R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

Spiral 3-1. Hardware/Software Interfacing

Spiral 3-1. Hardware/Software Interfacing 3-1.1 Spiral 3-1 Hardware/Software Interfacing 3-1.2 Learning Outcomes I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

PicoBlaze Instruction Set and Event Reference

PicoBlaze Instruction Set and Event Reference Appendix C PicoBlaze Instruction Set and Event Reference This appendix provides a detailed operational description of each PicoBlaze instruction and the Interrupt and Reset events, including pseudocode

More information

Adding the ILA Core to an Existing Design Lab

Adding the ILA Core to an Existing Design Lab Adding the ILA Core to an Existing Introduction This lab consists of adding a ChipScope Pro software ILA core with the Core Inserter tool and debugging a nonfunctioning design. The files for this lab are

More information

MICROPROCESSOR BASED SYSTEM DESIGN

MICROPROCESSOR BASED SYSTEM DESIGN MICROPROCESSOR BASED SYSTEM DESIGN Lecture 5 Xmega 128 B1: Architecture MUHAMMAD AMIR YOUSAF VON NEUMAN ARCHITECTURE CPU Memory Execution unit ALU Registers Both data and instructions at the same system

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit

Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Lab 3: Xilinx PicoBlaze Flow Lab Targeting Spartan-3E Starter Kit Xilinx PicoBlaze Flow Demo Lab www.xilinx.com 1-1 Create a New Project Step 1 Create a new project targeting the Spartan-3E device that

More information

MICROPROCESSOR MICROPROCESSOR. From the above description, we can draw the following block diagram to represent a microprocessor based system: Output

MICROPROCESSOR MICROPROCESSOR. From the above description, we can draw the following block diagram to represent a microprocessor based system: Output 8085 SATISH CHANDRA What is a Microprocessor? The word comes from the combination micro and processor. Processor means a device that processes whatever. In this context, processor means a device that processes

More information

Architecture of 8085 microprocessor

Architecture of 8085 microprocessor Architecture of 8085 microprocessor 8085 consists of various units and each unit performs its own functions. The various units of a microprocessor are listed below Accumulator Arithmetic and logic Unit

More information

EE4380 Microprocessor Design Project

EE4380 Microprocessor Design Project EE4380 Microprocessor Design Project Fall 2002 Class 1 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas Introduction What is a Microcontroller? Microcontroller

More information

Reading Spartan-3A Device DNA

Reading Spartan-3A Device DNA Reading Spartan-3A Device DNA A Reference Design for the Spartan-3A Starter Kit Includes an LCD display driver Rev2 10 th July 2007 Ken Chapman Xilinx Ltd Limitations Limited Warranty and Disclaimer. These

More information

acret Ameya Centre for Robotics & Embedded Technology Syllabus for Diploma in Embedded Systems (Total Eight Modules-4 Months -320 Hrs.

acret Ameya Centre for Robotics & Embedded Technology Syllabus for Diploma in Embedded Systems (Total Eight Modules-4 Months -320 Hrs. acret Ameya Centre for Robotics & Embedded Technology Syllabus for Diploma in Embedded Systems (Total Eight Modules-4 Months -320 Hrs.) Module 0 Introduction Introduction to Embedded Systems, Real Time

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

Designing Embedded AXI Based Direct Memory Access System

Designing Embedded AXI Based Direct Memory Access System Designing Embedded AXI Based Direct Memory Access System Mazin Rejab Khalil 1, Rafal Taha Mahmood 2 1 Assistant Professor, Computer Engineering, Technical College, Mosul, Iraq 2 MA Student Research Stage,

More information

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version

Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version Creating the AVS6LX9MBHP211 MicroBlaze Hardware Platform for the Spartan-6 LX9 MicroBoard Version 13.2.01 Revision History Version Description Date 12.4.01 Initial release for EDK 12.4 09 Mar 2011 12.4.02

More information

Efficiency and memory footprint of Xilkernel for the Microblaze soft processor

Efficiency and memory footprint of Xilkernel for the Microblaze soft processor Efficiency and memory footprint of Xilkernel for the Microblaze soft processor Dariusz Caban, Institute of Informatics, Gliwice, Poland - June 18, 2014 The use of a real-time multitasking kernel simplifies

More information

CDA 4253 FPGA System Design The PicoBlaze Microcontroller. Hao Zheng Comp Sci & Eng U of South Florida

CDA 4253 FPGA System Design The PicoBlaze Microcontroller. Hao Zheng Comp Sci & Eng U of South Florida CDA 4253 FPGA System Design The PicoBlaze Microcontroller Hao Zheng Comp Sci & Eng U of South Florida Overview of PicoBlaze So:-core microcontroller in VHDL: portable to other plaaorms. Small: occupies

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) DS799 June 22, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.01.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

PicoBlaze 8-Bit Microcontroller for CPLD Devices

PicoBlaze 8-Bit Microcontroller for CPLD Devices Application Note: CPLD XAPP37(v.) January 9, 23 R PicoBlaze -Bit Microcontroller for CPLD Devices Summary Introduction This application note describes the implementation of an -bit microcontroller design

More information

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design

Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design Avnet S6LX16 Evaluation Board and Maxim DAC/ADC FMC Module Reference Design By Nasser Poureh, Avnet Technical Marketing Manager Mohammad Qazi, Maxim Application Engineer, SP&C Version 1.0 August 2010 1

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Chapter 1: Basics of Microprocessor [08 M]

Chapter 1: Basics of Microprocessor [08 M] Microprocessor: Chapter 1: Basics of Microprocessor [08 M] It is a semiconductor device consisting of electronic logic circuits manufactured by using either a Large scale (LSI) or Very Large Scale (VLSI)

More information

Lab 4: Integrating a picoblaze processor in LabVIEW FPGA by use of CLIP node

Lab 4: Integrating a picoblaze processor in LabVIEW FPGA by use of CLIP node Lab 4: Integrating a picoblaze processor in LabVIEW FPGA by use of CLIP node Keywords: LabVIEW, LabVIEW FPGA, Xilinx SPARTAN3E Starter Kit, VHDL, picoblaze, assembler, CLIP node. Introduction Welcome to

More information

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core

DQ8051. Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core DQ8051 Revolutionary Quad-Pipelined Ultra High performance 8051 Microcontroller Core COMPANY OVERVIEW Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was

More information

PicoBlaze Instruction Set

PicoBlaze Instruction Set Chapter 3 PicoBlaze Instruction Set Table 3-1 summarizes the entire PicoBlaze instruction set, which appears alphabetically. Instructions are listed using the KCPSM3 syntax. If different, the pblazide

More information

Overview of Microcontroller and Embedded Systems

Overview of Microcontroller and Embedded Systems UNIT-III Overview of Microcontroller and Embedded Systems Embedded Hardware and Various Building Blocks: The basic hardware components of an embedded system shown in a block diagram in below figure. These

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100)

FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100) (Revision-10) FIFTH SEMESTER DIPLOMA EXAMINATION IN ENGINEERING/ TECHNOLOGY-MARCH 2014 EMBEDDED SYSTEMS (Common for CT,CM) [Time: 3 hours] (Maximum marks : 100) PART-A (Maximum marks : 10) I. Answer all

More information

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA

RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA RECONFIGURABLE SPI DRIVER FOR MIPS SOFT-CORE PROCESSOR USING FPGA 1 HESHAM ALOBAISI, 2 SAIM MOHAMMED, 3 MOHAMMAD AWEDH 1,2,3 Department of Electrical and Computer Engineering, King Abdulaziz University

More information

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core

ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core ELEC 4200 Lab#10 Interrupting SPI Receiver for use with a Processor Core SPI description: http://en.wikipedia.org/wiki/serial_peripheral_interface_bus References you may need: PicoBlaze KCPSM6 User Manual

More information

LCD Display. Other I/O. LCD display Flash ROM SPI EPROM Keyboard (PS/2) UART connectors DAC ADC. 2-line, 16 character LCD display

LCD Display. Other I/O. LCD display Flash ROM SPI EPROM Keyboard (PS/2) UART connectors DAC ADC. 2-line, 16 character LCD display Other I/O LCD display Flash ROM SPI EPROM Keyboard (PS/2) UART connectors DAC ADC LCD Display 2-line, 16 character LCD display 4-bit interface Relatively easy to use once you have it mapped into your processor

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform.

Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform. Introduction to Microcontroller Apps for Amateur Radio Projects Using the HamStack Platform www.sierraradio.net www.hamstack.com Topics Introduction Hardware options Software development HamStack project

More information

AVR Microcontrollers Architecture

AVR Microcontrollers Architecture ก ก There are two fundamental architectures to access memory 1. Von Neumann Architecture 2. Harvard Architecture 2 1 Harvard Architecture The term originated from the Harvard Mark 1 relay-based computer,

More information

TLL5000 Electronic System Design Base Module

TLL5000 Electronic System Design Base Module TLL5000 Electronic System Design Base Module The Learning Labs, Inc. Copyright 2007 Manual Revision 2007.12.28 1 Copyright 2007 The Learning Labs, Inc. Copyright Notice The Learning Labs, Inc. ( TLL )

More information

Chapter 4. Enhancing ARM7 architecture by embedding RTOS

Chapter 4. Enhancing ARM7 architecture by embedding RTOS Chapter 4 Enhancing ARM7 architecture by embedding RTOS 4.1 ARM7 architecture 4.2 ARM7TDMI processor core 4.3 Embedding RTOS on ARM7TDMI architecture 4.4 Block diagram of the Design 4.5 Hardware Design

More information

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication

Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Laboratory Exercise 3 Comparative Analysis of Hardware and Emulation Forms of Signed 32-Bit Multiplication Introduction All processors offer some form of instructions to add, subtract, and manipulate data.

More information

IMPLEMENTATION OF SOC CORE FOR IOT ENGINE

IMPLEMENTATION OF SOC CORE FOR IOT ENGINE IMPLEMENTATION OF SOC CORE FOR IOT ENGINE P.Jennifer 1 and S.Ramasamy 2 1 ME. VLSI Design, RMK Engineering College, Anna University 2 Professor, Department of ECE, RMK Engineering College, Anna University

More information

INTRODUCTION OF MICROPROCESSOR& INTERFACING DEVICES Introduction to Microprocessor Evolutions of Microprocessor

INTRODUCTION OF MICROPROCESSOR& INTERFACING DEVICES Introduction to Microprocessor Evolutions of Microprocessor Course Title Course Code MICROPROCESSOR & ASSEMBLY LANGUAGE PROGRAMMING DEC415 Lecture : Practical: 2 Course Credit Tutorial : 0 Total : 5 Course Learning Outcomes At end of the course, students will be

More information

Pin Description, Status & Control Signals of 8085 Microprocessor

Pin Description, Status & Control Signals of 8085 Microprocessor Pin Description, Status & Control Signals of 8085 Microprocessor 1 Intel 8085 CPU Block Diagram 2 The 8085 Block Diagram Registers hold temporary data. Instruction register (IR) holds the currently executing

More information

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial

Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Building an Embedded Processor System on Xilinx NEXYS3 FPGA and Profiling an Application: A Tutorial Introduction: Modern FPGA s are equipped with a lot of resources that allow them to hold large digital

More information

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a)

LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) DS799 March 1, 2011 LogiCORE IP AXI Video Direct Memory Access (axi_vdma) (v3.00.a) Introduction The AXI Video Direct Memory Access (AXI VDMA) core is a soft Xilinx IP core for use with the Xilinx Embedded

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) UNIT-I

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) UNIT-I SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : CO (16MC802) Year & Sem: I-MCA & I-Sem Course & Branch: MCA Regulation:

More information

COMPUTER ORGANIZATION AND ARCHITECTURE

COMPUTER ORGANIZATION AND ARCHITECTURE Page 1 1. Which register store the address of next instruction to be executed? A) PC B) AC C) SP D) NONE 2. How many bits are required to address the 128 words of memory? A) 7 B) 8 C) 9 D) NONE 3. is the

More information

High Speed Data Transfer Using FPGA

High Speed Data Transfer Using FPGA High Speed Data Transfer Using FPGA Anjali S S, Rejani Krishna P, Aparna Devi P S M.Tech Student, VLSI & Embedded Systems, Department of Electronics, Govt. Model Engineering College, Thrikkakkara anjaliss.mec@gmail.com

More information

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ Spartan-6 and Virtex-6 FPGA FAQ February 5, 2009 Getting Started 1. Where can I purchase an Embedded kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Embedded kits online at: Spartan-6 FPGA :

More information

Application Note for EVP

Application Note for EVP Sundance Multiprocessor Technology Limited Application Note Form : QCF32 Date : 11 Februay 2009 Unit / Module Description: SMT111-SMT372T-SMT946 Unit / Module Number: Document Issue Number: 1.0 Issue Date:

More information

Microblaze for Linux Howto

Microblaze for Linux Howto Microblaze for Linux Howto This tutorial shows how to create a Microblaze system for Linux using Xilinx XPS on Windows. The design is targeting the Spartan-6 Pipistello LX45 development board using ISE

More information

1. Internal Architecture of 8085 Microprocessor

1. Internal Architecture of 8085 Microprocessor 1. Internal Architecture of 8085 Microprocessor Control Unit Generates signals within up to carry out the instruction, which has been decoded. In reality causes certain connections between blocks of the

More information

FPGAs: Instant Access

FPGAs: Instant Access FPGAs: Instant Access Clive"Max"Maxfield AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO SAN FRANCISCO SINGAPORE SYDNEY TOKYO % ELSEVIER Newnes is an imprint of Elsevier Newnes Contents

More information

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD 6 Month Industrial Internship in VLSI Design & Verification" with Industry Level Projects. CURRICULUM Key features of VLSI-Design + Verification Module: ASIC & FPGA design Methodology Training and Internship

More information

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE:

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: 1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: A microprocessor is a programmable electronics chip that has computing and decision making capabilities similar to central processing unit

More information

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract

Sundance Multiprocessor Technology Limited. Capture Demo For Intech Unit / Module Number: C Hong. EVP6472 Intech Demo. Abstract Sundance Multiprocessor Technology Limited EVP6472 Intech Demo Unit / Module Description: Capture Demo For Intech Unit / Module Number: EVP6472-SMT391 Document Issue Number 1.1 Issue Data: 19th July 2012

More information

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4

TLL5000 Electronic System Design Base Module. Getting Started Guide, Ver 3.4 TLL5000 Electronic System Design Base Module Getting Started Guide, Ver 3.4 COPYRIGHT NOTICE The Learning Labs, Inc. ( TLL ) All rights reserved, 2008 Reproduction in any form without permission is prohibited.

More information

Microcontrollers. Microcontroller

Microcontrollers. Microcontroller Microcontrollers Microcontroller A microprocessor on a single integrated circuit intended to operate as an embedded system. As well as a CPU, a microcontroller typically includes small amounts of RAM and

More information

32 bit Arithmetic Logical Unit (ALU) using VHDL

32 bit Arithmetic Logical Unit (ALU) using VHDL 32 bit Arithmetic Logical Unit (ALU) using VHDL 1, Richa Singh Rathore 2 1 M. Tech Scholar, Department of ECE, Jayoti Vidyapeeth Women s University, Rajasthan, INDIA, dishamalik26@gmail.com 2 M. Tech Scholar,

More information

Computer Architecture

Computer Architecture Computer Architecture Lecture 1: Digital logic circuits The digital computer is a digital system that performs various computational tasks. Digital computers use the binary number system, which has two

More information

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System

Spartan-6 LX9 MicroBoard Embedded Tutorial. Tutorial 1 Creating an AXI-based Embedded System Spartan-6 LX9 MicroBoard Embedded Tutorial Tutorial 1 Creating an AXI-based Embedded System Version 13.1.01 Revision History Version Description Date 13.1.01 Initial release for EDK 13.1 5/15/2011 Table

More information

Typical applications where a CPLD may be the best design approach:

Typical applications where a CPLD may be the best design approach: By: Carlos Barberis, dba Bartek Technologies Description of Bartek s CPLD1 development board. For some of us CPLD s are familiar devices and for others just another acronym in the electronic device industry.

More information

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer

Lecture 2 Microcomputer Organization: Fig.1.1 Basic Components of Microcomputer Lecture 2 Microcomputer Organization: As discussed in previous lecture microprocessor is a central processing unit (CPU) with its related timing functions on a single chip. A microprocessor combined with

More information

footprint Ways to reduce RISC-V soft processor 万瑞罡 (Ruigang Wan) Chengdu University GitHub account: rgwan

footprint Ways to reduce RISC-V soft processor 万瑞罡 (Ruigang Wan) Chengdu University   GitHub account: rgwan Ways to reduce RISC-V soft processor footprint 万瑞罡 (Ruigang Wan) Chengdu University E-mail: h@iloli.bid GitHub account: rgwan RISC-V Shanghai Day June 30th 2018 Why RISC-V? The RISC-V architecture provided

More information

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers

Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Lecture (4) Computer Hardware Requirements for ERTSs: Microprocessors & Microcontrollers Prof. Kasim M. Al-Aubidy Philadelphia University-Jordan DERTS-MSc, 2015 Prof. Kasim Al-Aubidy 1 Lecture Outline:

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering Winter/Summer Training Level 2 continues. 3 rd Year 4 th Year FIG-3 Level 1 (Basic & Mandatory) & Level 1.1 and

More information

SYLLABUS UNIT - I 8086/8088 ARCHITECTURE AND INSTRUCTION SET

SYLLABUS UNIT - I 8086/8088 ARCHITECTURE AND INSTRUCTION SET 1 SYLLABUS UNIT - I 8086/8088 ARCHITECTURE AND INSTRUCTION SET Intel 8086/8088 Architecture Segmented Memory, Minimum and Maximum Modes of Operation, Timing Diagram, Addressing Modes, Instruction Set,

More information

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks)

QUESTION BANK. EE 6502 / Microprocessor and Microcontroller. Unit I Processor. PART-A (2-Marks) QUESTION BANK EE 6502 / Microprocessor and Microcontroller Unit I- 8085 Processor PART-A (2-Marks) YEAR/SEM : III/V 1. What is meant by Level triggered interrupt? Which are the interrupts in 8085 level

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

Microprocessors and Microcontrollers. Assignment 1:

Microprocessors and Microcontrollers. Assignment 1: Microprocessors and Microcontrollers Assignment 1: 1. List out the mass storage devices and their characteristics. 2. List the current workstations available in the market for graphics and business applications.

More information

LogiCORE IP AXI Video Direct Memory Access v5.00.a

LogiCORE IP AXI Video Direct Memory Access v5.00.a LogiCORE IP AXI Video Direct Memory Access v5.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Basics of Microprocessor

Basics of Microprocessor Unit 1 Basics of Microprocessor 1. Microprocessor Microprocessor is a multipurpose programmable integrated device that has computing and decision making capability. This semiconductor IC is manufactured

More information

TEACHING COMPUTER ARCHITECTURE THROUGH DESIGN PRACTICE. Guoping Wang 1. INTRODUCTION

TEACHING COMPUTER ARCHITECTURE THROUGH DESIGN PRACTICE. Guoping Wang 1. INTRODUCTION TEACHING COMPUTER ARCHITECTURE THROUGH DESIGN PRACTICE Guoping Wang Indiana University Purdue University Fort Wayne, Indiana; Email:wang@engr.ipfw.edu 1. INTRODUCTION Computer Architecture is a common

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

C O M P A N Y O V E R V I E W

C O M P A N Y O V E R V I E W C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a System-on-Chip design house. The company was founded in 1999 and since the very beginning has been focused on IP Core

More information

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4) Verilog Sequential Logic Verilog for Synthesis Rev C (module 3 and 4) Jim Duckworth, WPI 1 Sequential Logic Module 3 Latches and Flip-Flops Implemented by using signals in always statements with edge-triggered

More information