Executable Requirements: Opportunities and Impediments

Size: px
Start display at page:

Download "Executable Requirements: Opportunities and Impediments"

Transcription

1 Executable Requirements: Oppotunities and Impediments Executable Requirements: Opportunities and Impediments G. A. Shaw and A. H. Anderson * Abstract: In a top-down, language-based design methodology, requirements can be specified in an executable format, reducing the ambiguity typically encountered with written requirements, and serving as the starting point for the evolution of more detailed requirements and design specifications. As part of the Rapid Prototyping of Application Specific Signal Processors (RASSP) program, a VHDL executable requirement was constructed to capture the interface timing and functional requirements for an embedded processor intended to form images in real-time for a synthetic aperture radar. This paper includes a brief description of the application, then describes the implementation strategy and issues associated with the development of the VHDL executable requirement, emphasizing the importance of the VHDL test bench concept. Anticipated benefits of widespread utilization of VHDL executable requirements are discussed along with potential impediments to adoption. Areas for additional research and development are identified. Introduction Traditionally, requirements for an embedded signal processor are conveyed in the form of paper documents. This method of conveying technical requirements is subject to omissions, ambiguities, and errors on the part of the requirement generators (authors) and recipients (readers). In many instances, the written requirements are supplemented with supporting analysis and simulations, but this aggregate of requirements documentation must still be manually interpreted and judiciously applied to evaluate the adequacy of candidate hardware and software designs. During the course of design, it may be necessary to map written requirements into several different tools to assist in requirements tracking and allocation, performance analysis, simulation, and test development. Each mapping of requirements into a tool adds to the total design cost, and introduces opportunities for mis-communication and error. Executable requirements, when used in conjunction with a language-based design methodology, reduce the need for manual mapping of written requirements into diverse design tools. Requirements for embedded signal processing systems may address a wide range of issues including: Performance measures (e.g. P /P, SNR) Signal transformations (algorithms)

2 Modes of operation and control Interfaces, including timing and data formats Test capabilities and standards Implementation constraints (size, weight, power) This paper describes our experience with the development of an executable requirement detailing the algorithms, modes of operation, interface timing, and performance, of a synthetic aperture radar (SAR) processor. VHDL VHDL was developed in support of the DARPA Very High Speed Integrated Circuits (VHSIC) program, and became an IEEE standard (1076) in VHDL was developed to support the simulation and documentation of digital hardware, and a subset of the language is also appropriate for synthesis. In addition to these unique capabilities, VHDL also has many features in common with conventional programming languages, borrowing heavily from Ada and Pascal for language constructs and syntax. Conventional programming languages, such as C, and mathematical modeling languages, such as Matlab, are frequently used to develop simulations of signal processing systems. However, these languages do not provide standard representations for timing, or the concurrence inherent in signal processing algorithms. VHDL provides for the representation of both timing and concurrency, and represents a common language for modeling, simulating and synthesizing digital systems. The use of VHDL to model and synthesize designs at the chip-level is becoming common practice, but application to board level and higher design problems is still rare due to limited availability of models, long execution times for simulation, and lack of established methodology. An important concept in the application of VHDL to any level of design is the test bench. As shown in Figure 1, the purpose of a test bench is to verify correctness of a VHDL model. While the concept originates in the context of testing a model of an integrated circuit, it is applicable to all levels of model abstraction. Properly constructed, a test bench can be used to test and verify successively more detailed models of a design unit, whether the design unit corresponds to an integrated circuit, a board, or an entire system. The test bench concept, combined with the simulation capability of VHDL, provides a basis for the development of executable requirements. Figure 1: VHDL test bench concept. VHDL Executable Requirement

3 The executable requirement described in this paper models the behavior (i.e. the required algorithm functionality and allowable latency) of a polarimetric synthetic aperture radar, with detailed timing requirements modeled at the interfaces between the processor and the radar system. The executable requirement also models eight control commands associated with initialization and execution of the processor. The executable requirement consists of: Input stimulus in the form of synthetically generated or recorded radar data A test bench for supplying the data to the SAR processor model, capturing processed output images, and verifying overall correct performance A behavioral model of the SAR algorithm A set of reference images for use in verifying correctness User's manual Except where compatibility with external interfaces requires it, the executable requirement intentionally avoids providing any information about hardware implementation or structure, allowing for maximum flexibility in architecture selection and detailed design. The two-fold purpose of the executable requirement is to detail the processor requirements, and provide a fully functional test bench for use in verifying more detailed VHDL structural models of the processor, termed virtual prototypes. The algorithm flow, which the processor must implement simultaneously on three independent polarization channels, is shown in Figure 2. Except for the size, weight, and power constraints, all of the performance requirements listed in Table 1 are accounted for in the executable requirement.

4 Figure 2: SAR image formation algorithm flow. Performance and Utilization Using the Vantage VHDL simulator, the executable requirement took 142 minutes to process one range-doppler image on a Sparc-10, and required a minimum swap space of 250 MB for efficient execution. The arithmetic processing required to form an image for one of the four available polarizations is about 390 Mops, comprised mainly of floating point multiplies and adds associated with FFT computation. However, as shown in Figure 3, the time consuming part of the executable requirement simulation is associated with the transfer of the 25 MBytes of information between the test bench and the processor. The range processing requires about 3 minutes, with 85 minutes required to simulate the data transfer. The large amount of time required to simulate the data transfer is a consequence of using VHDL signals to model the bit-level transfers at the interface of the processor. The azimuth processing, which accounts for 64% of the computation (250 Mops), requires only 6% of the total simulation time to complete because it does not use signals to model detailed timing. Instead, a maximum allowable latency is assigned to the computation. Comparison of the output of the VHDL simulation to reference images obtained from a C-language implementation of the algorithms shows agreement to within the 64-bit floating point precision of the machine. Figure 3: Data flow and execution times for one image frame.

5 Table: SAR Processor Requirements In connection with the ARPA RASSP program, the executable requirement was given to two independent development teams, Sanders and Lockheed Martin Advanced Technology Labs, as the basis for designing an embedded real-time processor. The developers were required to define several different implementations, perform a trade-off analysis of these implementations, choose one system, develop a VHDL virtual prototype of the chosen system, and build a physical prototype based on the virtual prototype. Both developers experienced difficulty in their attempts to use the executable requirement as a development tool, primarily due to its long running time. It was used to generate partially processed data sets which were used as input to a Matlab simulation. The developers were successful in building VHDL virtual prototypes which were simulated with the test bench included in the executable requirement [2]-[3]. The virtual prototypes produced SAR images which satisfied the error criterion of the requirement. ATL's virtual prototype was built to be scalable so that smaller sets of data could be processed with sufficiently short elapsed time to be useful for development purposes. As the executable requirement was exercised, several inconsistencies between the written requirements and the executable requirement were uncovered and corrected. A number of requirements were also found to have been omitted from the written document, but were, by necessity, defined in the executable requirement. Examples of information inadvertently omitted from the written requirements, but incorporated in the implementation of the executable requirement, include the initial conditions for convolution filters, and the number of bits involved in preamble detection of radar pulses. The executable requirement for the SAR processor is fully documented, and has been utilized not only in the RASSP program, as discussed above, but also as a benchmark for performance of commercial and military multiprocessors, and as a test case for the development of design automation tools. Opportunities

6 Design Verification: The executable requirement complements the written requirement by offering greater detail and precision, and proving, through successful execution, that all necessary parameters are defined. Properly constructed, the test bench for the executable requirement may serve to test more detailed models of the hardware and software throughout the design process. Life Cycle Support: Since VHDL is an IEEE standard, the executable requirement, written in VHDL, represents a portable, machine-readable standard for documentation, and a vehicle for facilitating future upgrades and design trade-offs. Reuse: Components of an executable requirement, such as algorithm definitions and interface requirements, are candidates for reuse in developing new system requirements. The reuse components have high value due to the fact that they have been verified to be correct. Virtual Prototype: The use of VHDL executable requirements facilitates and promotes the development of VHDL virtual prototypes. Virtual prototypes have proven value in uncovering and correcting design defects prior to the costly step of physical prototyping. Impediments A number of practical considerations and potential impediments must be considered in developing a top-down design methodology employing executable requirements. Simulation Resources: Realistic problems can require an excessive amount of simulation memory and compute cycles if the amount of detail included in the model is not carefully managed. Detailed models, which capture clock cycle events, should be used only to verify proper interface timing over small intervals of time. The process of establishing the appropriate levels of model detail (abstraction), and the combinations of models necessary for verification, is a critical element in successful implementation of a top-down design methodology. Language Expertise: While VHDL is widely used by hardware designers, and might serve the needs of system analysts, most system analysts currently rely on more familiar tools, such as mathematical modeling languages or conventional programming languages, to develop simulations and analyze performance. Widespread adoption of VHDL-based executable requirements is therefore best served by augmenting existing system analysis and design tools with the capability to generate VHDL models of algorithms and architectures, rather than attempting to convert system analysts to the use of VHDL. Language Interfaces: The VHDL-93 language standard includes a FOREIGN attribute predefined in package STANDARD to allow a subprogram or an architecture body to have non-vhdl implementations. However, mechanisms for passing parameters are not included in the language standard, with the result that there is no fully-defined standard for interfacing VHDL to other languages. In the SAR executable requirement, the algorithm implementation was translated from C to VHDL to insure portability. For reasons of efficiency and portability, a fully defined foreign language interface is needed. Verification Methods: The application for which the executable requirement described here was generated corresponds to an existing sensor, data, and fully defined algorithms. Since both the sensor and image formation algorithms are well defined, a verification metric for the VHDL test bench is relatively straight-forward to define and implement, consisting of a pixel-by-pixel comparison of a known good reference image to the output image produced by the VHDL model. In cases where the sensor and algorithms are less well defined, the

7 challenge in developing executable requirements is to accommodate the uncertainties in both the algorithm representation and the accuracy criteria. Conclusion A VHDL executable requirement for an embedded signal processor has been successfully utilized as the basis for conveying and verifying performance requirements at the processor system level. Experience with the executable requirement has shown it to be a valuable tool for documenting and verifying system requirements. VHDL provides a standard, portable environment in which executable requirements can be elaborated into virtual prototypes to capture the data concurrency, structure, and timing of a processor design. The cost of this added capability, relative to functional-only simulations, is increased simulation time and program memory. The added cost can be minimized by sparing use of signals, scalable data sets, and judicious selection of levels of abstraction in modeling. VHDL executable requirements and specifications have the potential to significantly reduce the life cycle cost of embedded processors by providing accurate, enduring documentation of both the required performance and the actual design of a system. Additional details regarding the implementation and performance of the executable requirement, and its application to design, can be found in [1]-[4], and at the web site llrassp/. References 1 A. H Anderson, G. A. Shaw, C. T. Sung, `` VHDL Executable Requirements,'' Proceedings First Annual RASSP Conference, Arlington, VA, August 1994 pp E. Rundquist Jr., ``RASSP Benchmark-1: Virtual Prototyping of a Synthetic Aperture Radar Processor,'' Proceedings Second Annual RASSP Conference, Arlington, VA, July 1995 pp J. Pridgen, R. Jaffe, W. Kline, ``RASSP Technology Insertion into the Synthetic Aperture Radar Image Processor Application,'' Proceedings Second Annual RASSP Conference, Arlington, VA, July 1995 pp A. H Anderson, G. S. Downs, G. A. Shaw, `` RASSP Benchmark-1 and -2: A Preliminary Assessment,'' Proceedings Second Annual RASSP Conference, Arlington, VA, July 1995 pp Dr. Gary A. Shaw Allan H. Anderson This work was sponsored by the Advanced Research Projects Agency, Electronic Technology Office. Top Home Quick Links Search RASSP Home Disclaimer Direct comments and questions to: ruscitti@ll.mit.edu

8

9

10

11

12

13

14

Design Progression With VHDL Helps Accelerate The Digital System Designs

Design Progression With VHDL Helps Accelerate The Digital System Designs Fourth LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCET 2006) Breaking Frontiers and Barriers in Engineering: Education, Research and Practice 21-23 June

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were CHAPTER-2 HARDWARE DESCRIPTION LANGUAGES 2.1 Overview of HDLs : For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were sequential

More information

Optimal Configuration of Compute Nodes for Synthetic Aperture Radar Processing

Optimal Configuration of Compute Nodes for Synthetic Aperture Radar Processing Optimal Configuration of Compute Nodes for Synthetic Aperture Radar Processing Jeffrey T. Muehring and John K. Antonio Deptartment of Computer Science, P.O. Box 43104, Texas Tech University, Lubbock, TX

More information

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET INTRODUCTION TO VHDL Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET VHDL Resources Other Sources manufacturers web pages http://www.xilinx.com http://www.altera.com

More information

Introduction to Control Systems Design

Introduction to Control Systems Design Experiment One Introduction to Control Systems Design Control Systems Laboratory Dr. Zaer Abo Hammour Dr. Zaer Abo Hammour Control Systems Laboratory 1.1 Control System Design The design of control systems

More information

Revision: August 30, Overview

Revision: August 30, Overview Module 5: Introduction to VHDL Revision: August 30, 2007 Overview Since the first widespread use of CAD tools in the early 1970 s, circuit designers have used both picture-based schematic tools and text-based

More information

VHDL Modeling, Test, and Distribution

VHDL Modeling, Test, and Distribution VHDL Modeling, Test, and Distribution Vincent L. Sanders Robert B. Reese Aubrey K. Knight J. Scott Calhoun sanders@erc.msstate.edu reese@erc.msstate.edu knight@erc.msstate.edu jscott@erc.msstate.edu Mississippi

More information

Overview of ROCCC 2.0

Overview of ROCCC 2.0 Overview of ROCCC 2.0 Walid Najjar and Jason Villarreal SUMMARY FPGAs have been shown to be powerful platforms for hardware code acceleration. However, their poor programmability is the main impediment

More information

Gedae cwcembedded.com. The CHAMP-AV6 VPX-REDI. Digital Signal Processing Card. Maximizing Performance with Minimal Porting Effort

Gedae cwcembedded.com. The CHAMP-AV6 VPX-REDI. Digital Signal Processing Card. Maximizing Performance with Minimal Porting Effort Technology White Paper The CHAMP-AV6 VPX-REDI Digital Signal Processing Card Maximizing Performance with Minimal Porting Effort Introduction The Curtiss-Wright Controls Embedded Computing CHAMP-AV6 is

More information

Gradational conception in Cleanroom Software Development

Gradational conception in Cleanroom Software Development Gradational conception in Cleanroom Software Development Anshu Sharma 1 and Shilpa Sharma 2 1 DAV Institute of Engineering and Technology, Kabir Nagar, Jalandhar, India 2 Lovely Professional University,

More information

Real-time implementation of super-resolution imaging algorithm

Real-time implementation of super-resolution imaging algorithm header for SPIE use Real-time implementation of super-resolution imaging algorithm Carl Hein Lockheed Martin Advanced Technology Laboratories Camden, NJ 08102 ABSTRACT The design and implementation of

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software 01 1 Electronic Design Automation (EDA) 01 1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

Integration With the Business Modeler

Integration With the Business Modeler Decision Framework, J. Duggan Research Note 11 September 2003 Evaluating OOA&D Functionality Criteria Looking at nine criteria will help you evaluate the functionality of object-oriented analysis and design

More information

Topic 01. Software Engineering, Web Engineering, agile methodologies.

Topic 01. Software Engineering, Web Engineering, agile methodologies. Topic 01 Software Engineering, Web Engineering, agile methodologies. 1 What is Software Engineering? 2 1 Classic Software Engineering The IEEE definition: Software Engineering is the application of a disciplined,

More information

Tutorial on VHDL and Verilog Applications

Tutorial on VHDL and Verilog Applications Second LACCEI International Latin American and Caribbean Conference for Engineering and Technology (LACCEI 2004) Challenges and Opportunities for Engineering Education, Research and Development 2-4 June

More information

Introduction to C and HDL Code Generation from MATLAB

Introduction to C and HDL Code Generation from MATLAB Introduction to C and HDL Code Generation from MATLAB 이웅재차장 Senior Application Engineer 2012 The MathWorks, Inc. 1 Algorithm Development Process Requirements Research & Design Explore and discover Design

More information

OPTIMIZATION MAXIMIZING TELECOM AND NETWORK. The current state of enterprise optimization, best practices and considerations for improvement

OPTIMIZATION MAXIMIZING TELECOM AND NETWORK. The current state of enterprise optimization, best practices and considerations for improvement MAXIMIZING TELECOM AND NETWORK OPTIMIZATION The current state of enterprise optimization, best practices and considerations for improvement AOTMP.com The Next Evolution of Telecom Management OVERVIEW As

More information

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1 HIERARCHICAL DESIGN Chapter 13 1 Outline 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design Outline HIERARCHICAL DESIGN 1. Introduction 2. Components 3. Generics 4. Configuration 5. Other supporting constructs Chapter 13 1 Chapter 13 2 1. Introduction How to deal with 1M gates or more? Hierarchical

More information

Agile Accessibility. Presenters: Ensuring accessibility throughout the Agile development process

Agile Accessibility. Presenters: Ensuring accessibility throughout the Agile development process Agile Accessibility Ensuring accessibility throughout the Agile development process Presenters: Andrew Nielson, CSM, PMP, MPA Ann Marie Davis, CSM, PMP, M. Ed. Cammie Truesdell, M. Ed. Overview What is

More information

Quarter 3, 2007 (2007Q3) Generation Deliverability Assessment Study Plan

Quarter 3, 2007 (2007Q3) Generation Deliverability Assessment Study Plan Quarter 3, (Q3) Generation Deliverability Assessment Study Plan Background and Purpose of Study Phases I & II Generation and Import Deliverability Studies were completed that established the deliverability

More information

Digital Hardware-/Softwaresystems Specification

Digital Hardware-/Softwaresystems Specification Digital Hardware-/Softwaresystems Specification Seminar Architecture & Design Methods for Embedded Systems Summer Term 2006 University of Stuttgart Faculty of Computer Science, Electrical Engineering and

More information

In-depth algorithmic evaluation at the fixed-point level helps avoid expensive surprises in the final implementation.

In-depth algorithmic evaluation at the fixed-point level helps avoid expensive surprises in the final implementation. In-depth algorithmic evaluation at the fixed-point level helps avoid expensive surprises in the final implementation. Evaluating Fixed-Point Algorithms in the MATLAB Domain By Marc Barberis Exploring and

More information

MARK. January 2019 issue... BENCH THE INTERNATIONAL MAGAZINE FOR ENGINEERING DESIGNERS & ANALYSTS FROM NAFEMS

MARK. January 2019 issue... BENCH THE INTERNATIONAL MAGAZINE FOR ENGINEERING DESIGNERS & ANALYSTS FROM NAFEMS BENCH MARK January 2019 issue... Simulation Limited: How Sensor Simulation for Self-driving Vehicles is Limited by Game Engine Based Simulators A Guide to the Internet of Things Simulation of Complex Brain

More information

The Optimization of a Design Using VHDL Concepts

The Optimization of a Design Using VHDL Concepts The Optimization of a Design Using VHDL Concepts Iuliana CHIUCHISAN 1, Alin Dan POTORAC 2 "Stefan cel Mare" University of Suceava str.universitatii nr.13, RO-720229 Suceava 1 iuliap@eed.usv.ro, 2 alinp@eed.usv.ro

More information

When addressing VLSI design most books start from a welldefined

When addressing VLSI design most books start from a welldefined Objectives An ASIC application MSDAP Analyze the application requirement System level setting of an application Define operation mode Define signals and pins Top level model Write a specification When

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

Data Verification and Validation (V&V) for New Simulations

Data Verification and Validation (V&V) for New Simulations Data Verification and Validation (V&V) for New Simulations RPG Special Topic 9/15/06 1 Table of Contents Introduction 1 Data V&V Activities During M&S Development 1 Determine M&S Requirements Phase 2 V&V

More information

VHDL Essentials Simulation & Synthesis

VHDL Essentials Simulation & Synthesis VHDL Essentials Simulation & Synthesis Course Description This course provides all necessary theoretical and practical know-how to design programmable logic devices using VHDL standard language. The course

More information

Joint Application Design & Function Point Analysis the Perfect Match By Sherry Ferrell & Roger Heller

Joint Application Design & Function Point Analysis the Perfect Match By Sherry Ferrell & Roger Heller Joint Application Design & Function Point Analysis the Perfect Match By Sherry Ferrell & Roger Heller Introduction The old adage It s not what you know but when you know it that counts is certainly true

More information

Hardware Acceleration of Edge Detection Algorithm on FPGAs

Hardware Acceleration of Edge Detection Algorithm on FPGAs Hardware Acceleration of Edge Detection Algorithm on FPGAs Muthukumar Venkatesan and Daggu Venkateshwar Rao Department of Electrical and Computer Engineering University of Nevada Las Vegas. Las Vegas NV

More information

C H A N G E R E C O R D

C H A N G E R E C O R D Page : 2 C H A N G E R E C O R D ISSUE / REVISION DATE PAGES MODIFICATIONS 1/- August 13 All Initial issue D I S T R I B U T I O N L I S T COMPANY NAME QUANTITY ESA : Roland Weigand 1 SYDERAL : Ph. Voisin

More information

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Nate Pihlstrom, npihlstr@uccs.edu Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts) Objective The objective of this lab assignment is to introduce and use a methodology for designing

More information

LECTURE 1. Introduction

LECTURE 1. Introduction LECTURE 1 Introduction CLASSES OF COMPUTERS When we think of a computer, most of us might first think of our laptop or maybe one of the desktop machines frequently used in the Majors Lab. Computers, however,

More information

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL) Pinit Kumhom VLSI Laboratory Dept. of Electronic and Telecommunication Engineering (KMUTT) Faculty of Engineering King Mongkut s University

More information

Design, Implementation and Performance Evaluation of Synthetic Aperture Radar Signal Processor on FPGAs

Design, Implementation and Performance Evaluation of Synthetic Aperture Radar Signal Processor on FPGAs Design, Implementation and Performance Evaluation of Synthetic Aperture Radar Signal Processor on FPGAs Hemang Parekh Masters Thesis MS(Computer Engineering) University of Kansas 23rd June, 2000 Committee:

More information

Service Level Agreements: An Approach to Software Lifecycle Management. CDR Leonard Gaines Naval Supply Systems Command 29 January 2003

Service Level Agreements: An Approach to Software Lifecycle Management. CDR Leonard Gaines Naval Supply Systems Command 29 January 2003 Service Level Agreements: An Approach to Software Lifecycle Management CDR Leonard Gaines Naval Supply Systems Command 29 January 2003 Report Documentation Page Form Approved OMB No. 0704-0188 Public reporting

More information

The Designer's Guide to VHDL Second Edition

The Designer's Guide to VHDL Second Edition The Designer's Guide to VHDL Second Edition Peter J. Ashenden EDA CONSULTANT, ASHENDEN DESIGNS PTY. VISITING RESEARCH FELLOW, ADELAIDE UNIVERSITY Cl MORGAN KAUFMANN PUBLISHERS An Imprint of Elsevier SAN

More information

Finding Firmware Defects Class T-18 Sean M. Beatty

Finding Firmware Defects Class T-18 Sean M. Beatty Sean Beatty Sean Beatty is a Principal with High Impact Services in Indianapolis. He holds a BSEE from the University of Wisconsin - Milwaukee. Sean has worked in the embedded systems field since 1986,

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

1 Design Process HOME CONTENTS INDEX. For further assistance,  or call your local support center 1 Design Process VHDL Compiler, a member of the Synopsys HDL Compiler family, translates and optimizes a VHDL description to an internal gate-level equivalent. This representation is then compiled with

More information

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION

CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION CREATIVE ASSERTION AND CONSTRAINT METHODS FOR FORMAL DESIGN VERIFICATION Joseph Richards SGI, High Performance Systems Development Mountain View, CA richards@sgi.com Abstract The challenges involved in

More information

FPGA-Based Embedded Systems for Testing and Rapid Prototyping

FPGA-Based Embedded Systems for Testing and Rapid Prototyping FPGA-Based Embedded Systems for Testing and Rapid Prototyping Martin Panevsky Embedded System Applications Manager Embedded Control Systems Department The Aerospace Corporation Flight Software Workshop

More information

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications

A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications A Rapid Prototyping Methodology for Algorithm Development in Wireless Communications Abstract: Rapid prototyping has become an important means to verify the performance and feasibility of algorithms and

More information

Next Generation Backup: Better ways to deal with rapid data growth and aging tape infrastructures

Next Generation Backup: Better ways to deal with rapid data growth and aging tape infrastructures Next Generation Backup: Better ways to deal with rapid data growth and aging tape infrastructures Next 1 What we see happening today. The amount of data businesses must cope with on a daily basis is getting

More information

Workflow Modeling for Implementing Complex, CAD-Based, Design Methodologies

Workflow Modeling for Implementing Complex, CAD-Based, Design Methodologies Workflow Modeling for Implementing Complex, CAD-Based, Design Methodologies J. Stavash and J. Wedgwood M. Forte Lockheed Martin Advanced Technology Laboratories Rockwell International Corporation Camden,

More information

Final Project Report

Final Project Report 16.04.02 Final Project Report Document information Project Title HP Tool Repository of SESAR standard HP methods and tools Project Number 16.04.02 Project Manager DFS Deliverable Name 16.04.02 Final Project

More information

Global Voic Cutover

Global Voic Cutover Global Voicemail Cutover How Cisco IT Used Communications Plan to Ensure Smooth Cutover to Global Voicemail System A Cisco on Cisco Case Study: Inside Cisco IT 1 Overview Challenge Develop communications

More information

Navigating the RTL to System Continuum

Navigating the RTL to System Continuum Navigating the RTL to System Continuum Calypto Design Systems, Inc. www.calypto.com Copyright 2005 Calypto Design Systems, Inc. - 1 - The rapidly evolving semiconductor industry has always relied on innovation

More information

CONCLUSIONS AND RECOMMENDATIONS

CONCLUSIONS AND RECOMMENDATIONS Chapter 4 CONCLUSIONS AND RECOMMENDATIONS UNDP and the Special Unit have considerable experience in South-South cooperation and are well positioned to play a more active and effective role in supporting

More information

ISO compliant verification of functional requirements in the model-based software development process

ISO compliant verification of functional requirements in the model-based software development process requirements in the model-based software development process Hans J. Holberg SVP Marketing & Sales, BTC Embedded Systems AG An der Schmiede 4, 26135 Oldenburg, Germany hans.j.holberg@btc-es.de Dr. Udo

More information

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements. Contemporary Design We have been talking about design process Let s now take next steps into examining in some detail Increasing complexities of contemporary systems Demand the use of increasingly powerful

More information

Service Delivery Platforms and the Evolving Role of OSS by Doug Bellinger

Service Delivery Platforms and the Evolving Role of OSS by Doug Bellinger www.pipelinepub.com Volume 4, Issue 8 Service Delivery Platforms and the Evolving Role of OSS by Doug Bellinger Introduction As Service Delivery Platforms (SDP) for IMS-based services are gradually embraced

More information

Introduction. A. Bellaachia Page: 1

Introduction. A. Bellaachia Page: 1 Introduction 1. Objectives... 2 2. Why are there so many programming languages?... 2 3. What makes a language successful?... 2 4. Programming Domains... 3 5. Language and Computer Architecture... 4 6.

More information

Formal Foundations of Software Engineering

Formal Foundations of Software Engineering Formal Foundations of Software Engineering http://d3s.mff.cuni.cz Martin Nečaský Pavel Parízek CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Goals of the course Show methods and tools

More information

QLIKVIEW SCALABILITY BENCHMARK WHITE PAPER

QLIKVIEW SCALABILITY BENCHMARK WHITE PAPER QLIKVIEW SCALABILITY BENCHMARK WHITE PAPER Hardware Sizing Using Amazon EC2 A QlikView Scalability Center Technical White Paper June 2013 qlikview.com Table of Contents Executive Summary 3 A Challenge

More information

Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai

Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai Embedded Soc using High Performance Arm Core Processor D.sridhar raja Assistant professor, Dept. of E&I, Bharath university, Chennai Abstract: ARM is one of the most licensed and thus widespread processor

More information

Chapter 4. Evaluating Interface Designs

Chapter 4. Evaluating Interface Designs Chapter 4 Evaluating Interface Designs 1 Introduction Designers may fail to evaluate their designs adequately. Experienced designers know that extensive testing is a necessity. Few years ago, evaluation

More information

A Framework for Real-Time High-Throughput Signal and Image Processing Systems on Workstations

A Framework for Real-Time High-Throughput Signal and Image Processing Systems on Workstations A Framework for Real-Time High-Throughput Signal and Image Processing Systems on Workstations Prof. Brian L. Evans in collaboration with Gregory E. Allen and K. Clint Slatton Department of Electrical and

More information

Data Collection & Industry Standards

Data Collection & Industry Standards Data Collection & Industry Standards (Chapter 8 Software Project Estimation) Alain Abran (Tutorial Contribution: Dr. Monica Villavicencio) 1 Copyright 2015 Alain Abran Topics covered 1. Introduction 2.

More information

Rapid Bottleneck Identification A Better Way to do Load Testing. An Oracle White Paper June 2008

Rapid Bottleneck Identification A Better Way to do Load Testing. An Oracle White Paper June 2008 Rapid Bottleneck Identification A Better Way to do Load Testing An Oracle White Paper June 2008 Rapid Bottleneck Identification A Better Way to do Load Testing. RBI combines a comprehensive understanding

More information

COST ESTIMATION FOR DISTRIBUTED SYSTEMS USING USE CASE DIAGRAM

COST ESTIMATION FOR DISTRIBUTED SYSTEMS USING USE CASE DIAGRAM S. V. Pingale et al. : Cost Estimation for Distributed Systems using Use Case Diagram Journal of Advances in Engineering Science 41 Section C (3), July - December 2010, PP 41-48 COST ESTIMATION FOR DISTRIBUTED

More information

Model Evaluation. ACT-R, IMPRINT, and Matlab Comparisons, Parameter Optimizations, and Opportunities. Bengt Fornberg

Model Evaluation. ACT-R, IMPRINT, and Matlab Comparisons, Parameter Optimizations, and Opportunities. Bengt Fornberg Model Evaluation Slide 1 of 12 ACT-R, IMPRINT, and Matlab Comparisons, Parameter Optimizations, and Opportunities - 'Unified test problems' Keystroke entry task, and RADAR - RADAR Some modeling results

More information

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process.

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. Conceptualize A Design Problem Select Device Implement Design

More information

Built for Speed: Comparing Panoply and Amazon Redshift Rendering Performance Utilizing Tableau Visualizations

Built for Speed: Comparing Panoply and Amazon Redshift Rendering Performance Utilizing Tableau Visualizations Built for Speed: Comparing Panoply and Amazon Redshift Rendering Performance Utilizing Tableau Visualizations Table of contents Faster Visualizations from Data Warehouses 3 The Plan 4 The Criteria 4 Learning

More information

Hardware/Software Co-Design in the Rapid Prototyping of Application- Specific Signal Processors Methodology

Hardware/Software Co-Design in the Rapid Prototyping of Application- Specific Signal Processors Methodology Hardware/Software Co-Design in the Rapid Prototyping of Application- Specific Signal Processors Methodology W. Bernie Schaming Lockheed Martin Advanced Technology Laboratories Abstract The Rapid Prototyping

More information

Accelerating FPGA/ASIC Design and Verification

Accelerating FPGA/ASIC Design and Verification Accelerating FPGA/ASIC Design and Verification Tabrez Khan Senior Application Engineer Vidya Viswanathan Application Engineer 2015 The MathWorks, Inc. 1 Agenda Challeges with Traditional Implementation

More information

What has Changed? SNIA Emerald TM Power Efficiency Measurement Specification V2.0.2 to V Version 1.0 Revision 2

What has Changed? SNIA Emerald TM Power Efficiency Measurement Specification V2.0.2 to V Version 1.0 Revision 2 What has Changed? SNIA Emerald TM Power Efficiency Measurement Specification V2.0.2 to V2.1.0 Version 1.0 Revision 2 July 27, 2015 About the SNIA The Storage Networking Industry Association is a not-for-profit

More information

Overview of Digital Design with Verilog HDL 1

Overview of Digital Design with Verilog HDL 1 Overview of Digital Design with Verilog HDL 1 1.1 Evolution of Computer-Aided Digital Design Digital circuit design has evolved rapidly over the last 25 years. The earliest digital circuits were designed

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink Giorgia Zucchelli, Application Engineer, MathWorks 17 January 2011, Technical University Eindhoven 1 Agenda Introduction to

More information

DESIGN STRATEGIES & TOOLS UTILIZED

DESIGN STRATEGIES & TOOLS UTILIZED CHAPTER 7 DESIGN STRATEGIES & TOOLS UTILIZED 7-1. Field Programmable Gate Array The internal architecture of an FPGA consist of several uncommitted logic blocks in which the design is to be encoded. The

More information

Verification, Validation, and Test with Model-Based Design

Verification, Validation, and Test with Model-Based Design 2008-01-2709 Verification, Validation, and Test with Model-Based Design Copyright 2008 The MathWorks, Inc Tom Erkkinen The MathWorks, Inc. Mirko Conrad The MathWorks, Inc. ABSTRACT Model-Based Design with

More information

LLMORE: Mapping and Optimization Framework

LLMORE: Mapping and Optimization Framework LORE: Mapping and Optimization Framework Michael Wolf, MIT Lincoln Laboratory 11 September 2012 This work is sponsored by Defense Advanced Research Projects Agency (DARPA) under Air Force contract FA8721-05-C-0002.

More information

Probabilistic Sensor Models for Virtual Validation Use Cases and Benefits

Probabilistic Sensor Models for Virtual Validation Use Cases and Benefits Probabilistic Sensor Models for Virtual Validation Use Cases and Benefits Dr. Robin Schubert Co-Founder & CEO BASELABS GmbH 2 BASELABS enables data fusion results. 3 Who we are What we do We partner Data

More information

They Call It Stormy Monday

They Call It Stormy Monday ANALYST BRIEF They Call It Stormy Monday MOVE TO THE CLOUD REQUIRES FULL LIFE CYCLE MANAGEMENT Author Rob Ayoub Overview The revelation on September 17, 2013 that the cloud storage company Nirvanix would

More information

Design and Implementation of 5 Stages Pipelined Architecture in 32 Bit RISC Processor

Design and Implementation of 5 Stages Pipelined Architecture in 32 Bit RISC Processor Design and Implementation of 5 Stages Pipelined Architecture in 32 Bit RISC Processor Abstract The proposed work is the design of a 32 bit RISC (Reduced Instruction Set Computer) processor. The design

More information

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design. 01-1 Electronic Design Automation (EDA) 01-1 Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation

More information

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab EE595 Part VIII Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design

More information

Building a BC/DR Control Library and Regulatory Response Program

Building a BC/DR Control Library and Regulatory Response Program Building a BC/DR Control Library and Regulatory Response Program David Garland, Senior Director, Disaster Recovery & Regulatory Compliance, Business Continuity Management CME Group Regulatory Compliance

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

FPGAs: FAST TRACK TO DSP

FPGAs: FAST TRACK TO DSP FPGAs: FAST TRACK TO DSP Revised February 2009 ABSRACT: Given the prevalence of digital signal processing in a variety of industry segments, several implementation solutions are available depending on

More information

Higher National Unit specification: general information. Graded Unit 2

Higher National Unit specification: general information. Graded Unit 2 Higher National Unit specification: general information This Graded Unit has been validated as part of the HND Computing: Software Development. Centres are required to develop the assessment instrument

More information

ECE902 Virtual Machine Final Project: MIPS to CRAY-2 Binary Translation

ECE902 Virtual Machine Final Project: MIPS to CRAY-2 Binary Translation ECE902 Virtual Machine Final Project: MIPS to CRAY-2 Binary Translation Weiping Liao, Saengrawee (Anne) Pratoomtong, and Chuan Zhang Abstract Binary translation is an important component for translating

More information

Performing Multi-Phased Radar Processing with a Very Deep FPGA Pipeline

Performing Multi-Phased Radar Processing with a Very Deep FPGA Pipeline Performing Multi-Phased Radar Processing with a Very Deep FPGA Pipeline Jeffrey T. Muehring and John K. Antonio School of Computer Science University of Oklahoma antonio@ou.edu 2000 MAPLD Conference The

More information

Introducing I 3 CON. The Information Interpretation and Integration Conference

Introducing I 3 CON. The Information Interpretation and Integration Conference Introducing I 3 CON The Information Interpretation and Integration Conference Todd Hughes, Ph.D. Senior Member, Engineering Staff Advanced Technology Laboratories 10/7/2004 LOCKHEED MARTIN 1 Report Documentation

More information

Using FPGAs as a Flexible PCI Interface solution

Using FPGAs as a Flexible PCI Interface solution Using FPGAs as a Flexible Interface solution Jim McManus, Applications Engineer, Xilinx Inc Why do in FPGAs? One of the key reasons is the flexibility only available in FPGAs. This flexibility can save

More information

Advanced Cyber Risk Management Threat Modeling & Cyber Wargaming April 23, 2018

Advanced Cyber Risk Management Threat Modeling & Cyber Wargaming April 23, 2018 Advanced Cyber Risk Management Threat Modeling & Cyber Wargaming April 23, 2018 The Homeland Security Systems Engineering and Development Institute (HSSEDI ) is a trademark of the U.S. Department of Homeland

More information

SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION

SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION SOFTWARE ARCHITECTURE & DESIGN INTRODUCTION http://www.tutorialspoint.com/software_architecture_design/introduction.htm Copyright tutorialspoint.com The architecture of a system describes its major components,

More information

Database Systems: Design, Implementation, and Management Tenth Edition. Chapter 9 Database Design

Database Systems: Design, Implementation, and Management Tenth Edition. Chapter 9 Database Design Database Systems: Design, Implementation, and Management Tenth Edition Chapter 9 Database Design Objectives In this chapter, you will learn: That successful database design must reflect the information

More information

CS/ECE 5780/6780: Embedded System Design

CS/ECE 5780/6780: Embedded System Design CS/ECE 5780/6780: Embedded System Design John Regehr Lecture 18: Introduction to Verification What is verification? Verification: A process that determines if the design conforms to the specification.

More information

Using Verilog HDL to Teach Computer Architecture Concepts

Using Verilog HDL to Teach Computer Architecture Concepts Using Verilog HDL to Teach Computer Architecture Concepts Dr. Daniel C. Hyde Computer Science Department Bucknell University Lewisburg, PA 17837, USA hyde@bucknell.edu Paper presented at Workshop on Computer

More information

SCR: A PRACTICAL METHOD FOR REQUIREMENTS SPECIFICATION

SCR: A PRACTICAL METHOD FOR REQUIREMENTS SPECIFICATION SCR: A PRACTICAL METHOD FOR REQUIREMENTS SPECIFICATION Constance Heitmeyer, Naval Research Laboratory, Washington, DC Abstract A controversial issue in the formal methods research community is the degree

More information

Adding Formal Requirements Modeling to SysML

Adding Formal Requirements Modeling to SysML Adding Formal Requirements Modeling to SysML Mark R. Blackburn www.markblackburn.com Abstract. This paper seeks to raise awareness on the SCR extensions derived from industry use, and discusses how an

More information

FPGA Polyphase Filter Bank Study & Implementation

FPGA Polyphase Filter Bank Study & Implementation FPGA Polyphase Filter Bank Study & Implementation Raghu Rao Matthieu Tisserand Mike Severa Prof. John Villasenor Image Communications/. Electrical Engineering Dept. UCLA 1 Introduction This document describes

More information

UML, SysML and MARTE in Use, a High Level Methodology for Real-time and Embedded Systems

UML, SysML and MARTE in Use, a High Level Methodology for Real-time and Embedded Systems UML, SysML and MARTE in Use, a High Level Methodology for Real-time and Embedded Systems Alessandra Bagnato *, Imran Quadri and Andrey Sadovykh * TXT e-solutions (Italy) Softeam (France) Presentation Outline

More information

8/27/17. CS-3304 Introduction. What will you learn? Semester Outline. Websites INTRODUCTION TO PROGRAMMING LANGUAGES

8/27/17. CS-3304 Introduction. What will you learn? Semester Outline. Websites INTRODUCTION TO PROGRAMMING LANGUAGES CS-3304 Introduction In Text: Chapter 1 & 2 COURSE DESCRIPTION 2 What will you learn? Survey of programming paradigms, including representative languages Language definition and description methods Overview

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information