2. Recommended Design Flow

Size: px
Start display at page:

Download "2. Recommended Design Flow"

Transcription

1 2. Recommended Design Flow This chapter describes the Altera-recommended design low or successully implementing external memory interaces in Altera devices. Altera recommends that you create an example top-level ile with the desired pin outs and all interace IP instantiated, which enables the Quartus II sotware to validate your design and resource allocation beore PCB and schematic sign o. Use the on page 2 6, to veriy whether you have perormed all the recommended steps in creating a working and robust external memory interace. Figure 2 1 shows the design low to provide the astest out-o-the-box experience with external memory interaces in Altera devices. This topic directs you where to ind inormation on how to perorm each step o the recommended design low. The low assumes that you are using Altera IP to implement the external memory interace. For design examples that ollow the recommended design low in this chapter, reer to Volume 6: Design Flow Tutorials o the External Memory Interace Handbook. July 2010 Altera Corporation About This Handbook

2 2 2 Chapter 2: Recommended Design Flow Figure 2 1. External Memory Interaces Design Flowchart Start Design Select Device Instantiate PHY and Controller Determine Board Layout UniPHY-Based Designs Only on Arria II GX and Stratix IV Devices Perorm Board Level Simulations SOPC Builder Flow Speciy Parameters MegaWizard Flow Speciy Parameters Adjust Termination and Drive Strength No Do Signals Meet Electrical Requirements? Complete SOPC Builder System Yes Optional Perorm Functional Simulation Yes Add Constraints and Compile Design Does Simulation Give Expected Results? Veriy Timing No Debug Design Does the Design Have Positive Margin? No Adjust Constraints Optional Yes Perorm Timing Simulation Yes Veriy Design Functionality on Board Does Simulation Give Expected Results? Is Design Working? No Debug Design No Yes Debug Design Design Done About This Handbook July 2010 Altera Corporation

3 Chapter 2: Recommended Design Flow 2 3 Select a Device Select a Device For more inormation on selecting a device, reer to the Device and Pin Planning section in volume 2 o the External Memory Interace Handbook. Determine Board Layout Altera recommends prelayout SI simulations (line simulations) should take place beore board layout and that you use these parameters and rules during the initial design development cycle. Advanced I/O timing and board trace models now directly impact device timing closure. In addition, the termination scheme that you use, the drive strength setting on the FPGA, and the loading seen by the driver can directly aect the signal integrity. You must understand the tradeos between the dierent types o termination schemes and the eects o output drive strengths and loading, to choose the best possible settings or your designs. For more inormation, reer to the Board Layout Guidelines section in volume 2 o the External Memory Interace Handbook. Perorm Board-Level Simulations To determine the correct board constraints, perorm board-level simulations to see i the settings provide the optimal signal quality. With many variables that can aect the signal integrity o the memory interace, simulating the memory interace provides an initial indication o how well the memory interace perorms. There are various EDA simulation tools available to perorm board-level simulations. The simulations should be perormed on the data, data strobe, control, command, and address signals. I the memory interace does not have good signal integrity, adjust the settings, such as drive strength setting, termination scheme or termination values to improve the signal integrity (realize that changing these settings aects the timing and it may be necessary to go back to the timing closure i these change). For detailed inormation about understanding the dierent eects on signal integrity design, reer to the Board Layout Guidelines section in volume 2 o the External Memory Interace Handbook. Enter topology inormation rom your board-level simulations into the Quartus II board trace model inormation. The typical inormation required includes, but is not limited to, the ollowing values: Near and ar trace lengths Near and ar trace distributed inductance Near and ar trace distributed capacitance Near end deration capacitor values (i itted) Far end capacitive (IC) load Far end termination values July 2010 Altera Corporation About This Handbook

4 2 4 Chapter 2: Recommended Design Flow Adjust Termination and Drive Strength Device-Side Termination Memory-Side Termination Many Altera devices support both series and parallel OCT resistors to improve signal integrity. OCT eliminates the need or external termination resistors on the FPGA side, which simpliies board design and reduces overall board cost. You can dynamically switch between the series and parallel OCT resistor depending on whether the FPGA devices are perorming a write or a read operation. The OCT eatures oer user-mode calibration to compensate or any variation in VT during normal operation to ensure that the OCT values remain constant. The parallel and series OCT eatures are available in either 25 or 50 Ω settings. The DDR2, DDR3 SDRAM, and QDR II SRAM have a dynamic parallel ODT eature that you can turn on when the FPGA is writing to the memory and turn o when the FPGA is reading rom the memory. To urther improve signal integrity, DDR2 SDRAM supports output drive strength control so that the driver can better match the transmission line. DDR3 SDRAM devices additionally support calibrated output impedances. For more inormation on available settings o the ODT, the output drive strength eatures, and the timing requirements or driving the ODT pin, reer to your DDR2 or DDR3 SDRAM datasheet. Adjust Termination and Drive Strength Although the recommended terminations are based on the simulations and experimental results, you must perorm simulations, either using I/O buer inormation speciication (IBIS) or HSPICE models, to determine the quality o signal integrity on your designs. 1 Any changes made to the board should also be made in the board trace model in the Quartus II sotware. For inormation on Altera-recommended terminations or memory interaces, reer to the Board Layout Guidelines section in volume 2 o the External Memory Interace Handbook. Instantiate PHY and Controller Ater selecting the appropriate device and memory type, create a project in the Quartus II sotware that targets the device and memory type. When implementing external memory interaces, Altera recommends that you use Altera memory interace IP, which includes a PHY that you can use with the Altera high-perormance controller or with your own custom controller. Instantiating the PHY and controller includes the ollowing steps: Speciy parameters Perorm unctional simulation Add constraints and compile design About This Handbook July 2010 Altera Corporation

5 Chapter 2: Recommended Design Flow 2 5 Veriy Timing For more inormation about speciying parameters, adding constraints, and compiling, reer to the DDR and DDR2 SDRAM High-Perormance Controller and ALTMEMPHY IP User Guide section and the DDR3 SDRAM High-Perormance Controller and ALTMEMPHY IP User Guide section in volume 3 o the External Memory Interace Handbook. For more inormation about simulation, reer to the Simulation section in volume 4 o the External Memory Interace Handbook. Veriy Timing For more inormation about veriying timing, reer to the Timing Analysis section in volume 4 o the External Memory Interace Handbook. Adjust Constraints In the timing report o the design, you can see the worst case setup and hold margin or the dierent paths in the design. I the setup and hold margin are unbalanced, achieve a balanced setup and hold margin by adjusting the phase setting o the clocks associated with these paths. For example, or the address and command margin, the address and command outputs are clocked by an address and command clock that can be dierent with respect to the system clock, which is 30. The system clock controls the clock outputs going to the memory. I the report timing script indicates that using the deault phase setting or the address and command clock results in more hold time than setup time, adjust the address and command clock to be less negative than the deault phase setting with respect to the system clock, so that there is less hold margin. Similarly, adjust the address and command clock to be more negative than the deault phase setting with respect to the system clock i there is more setup margin. For more inormation on adjusting constraints, reer to the Timing Analysis section in volume 4 o the External Memory Interace Handbook. Perorm Timing Simulation This step is optional, but recommended to ensure that the IP is working properly. This step only applies to UniPHY-based interaces (on Arria II GX and Stratix IV devices only), as ALTMEMPHY-based interaces do not support timing simulation. For more inormation about simulating, reer to the Simulation section in volume 4 o the External Memory Interace Handbook. Veriy Design Functionality Perorm system level veriication to correlate the system against your design targets, using the Altera SignalTap II logic analyzer. For more inormation about using the SignalTap II analyzer, reer to the Debugging section in volume 4 o the External Memory Interace Handbook. July 2010 Altera Corporation About This Handbook

6 2 6 Chapter 2: Recommended Design Flow This topic contains a design checklist that you can use when implementing external memory interaces in Altera devices. Done Select Device 1. Select the memory interace requency o operation and bus width. For inormation about selecting memory, reer to the Memory Standard Overview section in volume 1 o the External Memory Interace Handbook. 2. Select the FPGA device density and package combination that you want to target. For inormation about selecting an Altera device, reer to the Device and Pin Planning section in volume 2 o the External Memory Interace Handbook. 3. Ensure that the target FPGA device supports the desired clock rate and memory bus width. Also the FPGA must have suicient I/O pins or the DQ/DQS read and write groups. For detailed device resource inormation, reer to the relevant device handbook chapter on external memory interace support. For inormation about supported clock rates or external memory interaces, reer to the External Memory Interace System Speciications section in volume 1 o the External Memory Interace Handbook. Determine Board Layout 4. Select the termination scheme and drive strength settings or all the memory interace signals on the memory side and the FPGA side. 5. Ensure you apply appropriate termination and drive strength settings on all the memory interace signals, and veriy using board level simulations. 6. Use board level simulations to pick the optimal setting or best signal integrity. On the memory side, Altera recommends the use o external parallel termination on input signals to the memory (write data, address, command, and clock signals). For inormation, reer to the Board Layout Guidelines section in volume 2 o the External Memory Interace Handbook. Perorm Board Level Simulations 7. Perorm board level simulations, to ensure electrical and timing margins or your memory interace 8. Ensure you have a suicient eye opening using simulations. Use the latest FPGA and memory IBIS models, board trace characteristics, drive strength, and termination settings in your simulation. Any timing uncertainties at the board level that you calculate using simulations must be used to adjust the input timing constraints to ensure the accuracy o Quartus II timing margin reports. For example crosstalk, ISI, and slew rate deration. For inormation, reer to the Board Layout Guidelines section in volume 2 o the External Memory Interace Handbook. Instantiate PHY and Controller About This Handbook July 2010 Altera Corporation

7 Chapter 2: Recommended Design Flow 2 7 Done 9. Parameterize and instantiate the Altera external memory IP or your target memory interace. You have the ollowing three choices in implementing a memory interace in the Quartus II sotware: Using the Altera memory controller and PHY. For inormation about how to implement a speciic memory interace, reer to Volume 3: Implementing Altera Memory Interace IP o the External Memory Interace Handbook. The Parameter Settings chapter o each section describes the IP supported eatures page by page. Using the Altera PHY with your own custom controller. For inormation about how to implement a speciic memory interace, reer to Volume 3: Implementing Altera Memory Interace IP o the External Memory Interace Handbook. The Parameter Settings chapter o each section describes the IP supported eatures page by page. The Functional Description chapter describes the workings o the PHY and how you can connect a custom controller to the PHY. Implement a custom PHY and custom controller. For inormation about creating custom IP, reer to Volume 5: Implementing CustomMemory Interace PHY o the External Memory Interace Handbook. 10. Ensure that you perorm the ollowing actions: Pick the correct memory interace data rates, width, and conigurations. For DDR, DDR2, and DDR3 SDRAM interaces, ensure that you derate the tis, tih, tds, and tdh parameters, as necessary. Include the board skew parameter or your board. 11. Connect the PHY's local signals to your driver logic and the PHY's memory interace signals to top-level pins. Ensure that the local interace signals o the PHY are appropriately connected to your own logic. I the ALTMEMPHY megaunction is compiled without these local interace connections, you may encounter compilation problems, when the number o signals exceeds the pins available on your target device. For more inormation about the example top-level ile, reer to the Functional Description chapter or the relevant memory controller. You may also use the example top-level ile as an example on how to connect your own custom controller to the Altera memory PHY. Perorm Functional Simulation 12. Simulate your design using the RTL unctional model. Use the IP unctional simulation model with your own driver logic, testbench, and a memory model, to ensure correct read and write transactions to the memory. You may need to prepare the memory unctional model by setting the speed grade and device bus mode. For more inormation about simulation, reer to the Simulation section in volume 4 o the External Memory Interace Handbook. Add Contraints July 2010 Altera Corporation About This Handbook

8 2 8 Chapter 2: Recommended Design Flow Done 13. Add timing constraints. The wizard-generated.sdc ile adds timing constraints to the interace. However, you may need to adjust these settings to best it your memory interace coniguration. 14. Add pin settings and DQ group assignments. The wizard-generated.tcl ile includes I/O standard and pin loading constraints to your design. 15. Ensure that generic pin names used in the constraint scripts are modiied to match your top-level pin names. The loading on memory interace pins is dependent on your board topology (memory components). 16. Add pin location assignments. However, you need to assign the pin location assignments manually using the Pin Planner. 17. Ensure that the example top-level ile or your top-level logic is set as top-level entity. 18. Adjust optimization techniques, to ensure the remaining unconstrained paths are routed with the highest speed and eiciency: a. On the Assignments menu click Settings. b. Select Analysis & Synthesis Settings. c. Select Speed under Optimization Technique. d. Expand Fitter Settings. e. Turn on Optimize Hold Timing and select All Paths.. Turn on Optimize Fast Corner Timing. g. Select Standard Fit under Fitter Eort. 19. Provide board trace delay model. For accurate I/O timing analysis, you speciy the board trace and loading inormation in the Quartus II sotware. This inormation should be derived and reined during your board development process o prelayout (line) simulation and inally post-layout (board) simulation. Provide the board trace inormation or the output and bidirectional pins through the board trace model in the Quartus II sotware. For more inormation, reer to the Add Constraints chapter or the relevant memory standard in volume 3 o the External Memory Interace Handbook or reer to Volume 6: Design Flow Tutorials. Compile Design and Veriy Timing 20. Compile your design and veriy timing closure using all available models. 21. Run the wizard-generated <variation_name>_report_timing.tcl ile, to generate a custom timing report or each o your IP instances. Run this process across all device timing models (slow 0 C, slow 85 C, ast 0 C). 22. I there are timing violations, adjust your constraints to optimize timing 23. As required, adjust PLL clock phase shit settings or appropriate timing and location assignments margins or the various timing paths within the IP. For inormation, reer to the Timing Analysis section in volume 4 o the External Memory Interace Handbook. Perorm Timing Simulation About This Handbook July 2010 Altera Corporation

9 Chapter 2: Recommended Design Flow 2 9 Done 24. Perorm gate-level or timing simulation to ensure that all the memory transactions meet the timing speciications with the vendor's memory model. Timing simulation is only supported with UniPHY-based memory interaces. For more inormation about simulation, reer to the Simulation section in volume 4 o the External Memory Interace Handbook. Veriy Design Functionality 25. Veriy the unctionality o your memory interace in the system For more inormation, reer to Volume 6: Design Flow Tutorials. in the External Memory Interace Handbook. July 2010 Altera Corporation About This Handbook

10 2 10 Chapter 2: Recommended Design Flow About This Handbook July 2010 Altera Corporation

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software

9. Reviewing Printed Circuit Board Schematics with the Quartus II Software November 2012 QII52019-12.1.0 9. Reviewing Printed Circuit Board Schematics with the Quartus II Sotware QII52019-12.1.0 This chapter provides guidelines or reviewing printed circuit board (PCB) schematics

More information

7. External Memory Interfaces in Cyclone IV Devices

7. External Memory Interfaces in Cyclone IV Devices March 2016 CYIV-51007-2.6 7. External Memory Interaces in Cyclone IV Devices CYIV-51007-2.6 This chapter describes the memory interace pin support and the external memory interace eatures o Cyclone IV

More information

6. I/O Features for HardCopy III Devices

6. I/O Features for HardCopy III Devices 6. I/O Features or HardCopy III Devices January 2011 HIII51006-3.1 HIII51006-3.1 This chapter describes the I/O standards, eatures, termination schemes, and perormance supported in HardCopy III devices.

More information

7. High-Speed Differential Interfaces in the Cyclone III Device Family

7. High-Speed Differential Interfaces in the Cyclone III Device Family December 2011 CIII51008-4.0 7. High-Speed Dierential Interaces in the Cyclone III Device Family CIII51008-4.0 This chapter describes the high-speed dierential I/O eatures and resources in the Cyclone III

More information

2. Design Planning with the Quartus II Software

2. Design Planning with the Quartus II Software November 2013 QII51016-13.1.0 2. Design Planning with the Quartus II Sotware QII51016-13.1.0 This chapter discusses key FPGA design planning considerations, provides recommendations, and describes various

More information

13. HardCopy Design Migration Guidelines

13. HardCopy Design Migration Guidelines November 2012 EMI_DG_012-2.2 13. HardCopy Design Migration Guidelines EMI_DG_012-2.2 This chapter discusses HardCopy migration guidelines or UniPHY-based designs. I you want to migrate your ALTMEMPHY-based

More information

13. Power Optimization

13. Power Optimization 13. Power Optimization May 2013 QII52016-13.0.0 QII52016-13.0.0 The Quartus II sotware oers power-driven compilation to ully optimize device power consumption. Power-driven compilation ocuses on reducing

More information

13. Power Management in Stratix IV Devices

13. Power Management in Stratix IV Devices February 2011 SIV51013-3.2 13. Power Management in Stratix IV Devices SIV51013-3.2 This chapter describes power management in Stratix IV devices. Stratix IV devices oer programmable power technology options

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

6. I/O Features in the Cyclone III Device Family

6. I/O Features in the Cyclone III Device Family July 2012 CIII51007-3.4 6. I/O Features in the Cyclone III Device Family CIII51007-3.4 This chapter describes the I/O eatures oered in the Cyclone III device amily (Cyclone III and Cyclone III LS devices).

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

Using VCS with the Quartus II Software

Using VCS with the Quartus II Software Using VCS with the Quartus II Sotware December 2002, ver. 1.0 Application Note 239 Introduction As the design complexity o FPGAs continues to rise, veriication engineers are inding it increasingly diicult

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

10. SOPC Builder Component Development Walkthrough

10. SOPC Builder Component Development Walkthrough 10. SOPC Builder Component Development Walkthrough QII54007-9.0.0 Introduction This chapter describes the parts o a custom SOPC Builder component and guides you through the process o creating an example

More information

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

2. Getting Started with the Graphical User Interface

2. Getting Started with the Graphical User Interface February 2011 NII52017-10.1.0 2. Getting Started with the Graphical User Interace NII52017-10.1.0 The Nios II Sotware Build Tools (SBT) or Eclipse is a set o plugins based on the popular Eclipse ramework

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

Section II. Nios II Software Development

Section II. Nios II Software Development Section II. Nios II Sotware Development This section o the Embedded Design Handbook describes how to most eectively use the Altera tools or embedded system sotware development, and recommends design styles

More information

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction May 2008, v.1.2 Introduction Application Note 462 Many systems and applications use external memory interfaces as data storage or

More information

DSP Design Flow User Guide

DSP Design Flow User Guide DSP Design Flow User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Date: June 2009 Copyright 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

Using the Nios II Configuration Controller Reference Designs

Using the Nios II Configuration Controller Reference Designs Using the Nios II Controller Reerence Designs AN-346-1.2 March 2009 Introduction This application note describes coniguration controller reerence designs or Nios II systems using Altera Stratix II, Cyclone

More information

Technical Brief High-Speed Board Design Advisor Pinout Definition

Technical Brief High-Speed Board Design Advisor Pinout Definition Introduction Technical Brie Pinout Deinition This document contains a checklist with a best practice set o step-by-step guidelines to support users to design and review their pinout deinition. It should

More information

11. Transceiver Link Debugging Using the System Console

11. Transceiver Link Debugging Using the System Console November 2011 QII53029-11.1.0 11. Transceiver Link Debugging Using te System Console QII53029-11.1.0 Tis capter describes ow to use te Transceiver Toolkit in te Quartus II sotware. Te Transceiver Toolkit

More information

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices AN 608: HST Jitter and BER Estimator Tool or Stratix IV GX and GT Devices July 2010 AN-608-1.0 The high-speed communication link design toolkit (HST) jitter and bit error rate (BER) estimator tool is a

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Analyzing Timing of Memory IP

Analyzing Timing of Memory IP 11 emi_dg_010 Subscribe The external memory physical layer (PHY) interface offers a combination of source-synchronous and self-calibrating circuits to maximize system timing margins. The physical layer

More information

Errata Sheet for Arria II GX Devices

Errata Sheet for Arria II GX Devices Errata Sheet or rria II GX evices ES-01025-3.7 Errata Sheet This errata sheet provides updated inormation about known device issues aecting rria II GX devices. Table 1 lists the speciic issues and which

More information

Section III. Advanced Programming Topics

Section III. Advanced Programming Topics Section III. Advanced Programming Topics This section provides inormation about several advanced embedded programming topics. It includes the ollowing chapters: Chapter 8, Exception Handling Chapter 9,

More information

System Development Tools for Excalibur Devices

System Development Tools for Excalibur Devices System Development Tools or Excalibur Devices January 2003, ver. 1.0 Application Note 299 Introduction The Excalibur embedded processor devices achieve a new level o system integration rom the inclusion

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

2. Processor Architecture

2. Processor Architecture 2. Processor Architecture February 2014 NII51002-13.1.0 NII51002-13.1.0 This chapter describes the hardware structure o the Nios II processor, including a discussion o all the unctional units o the Nios

More information

7. External Memory Interfaces in Stratix IV Devices

7. External Memory Interfaces in Stratix IV Devices February 2011 SIV51007-3.2 7. External Memory Interfaces in Stratix IV evices SIV51007-3.2 This chapter describes external memory interfaces available with the Stratix IV device family and that family

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

7. External Memory Interfaces in Arria II Devices

7. External Memory Interfaces in Arria II Devices ecember 2010 AIIGX51007-4.0 7. External Memory Interfaces in Arria II evices AIIGX51007-4.0 This chapter describes the hardware features in Arria II devices that facilitate high-speed memory interfacing

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 101 Innovation Drive San Jose, CA 95134 www.altera.com EMI_PLAN_PIN-3.0 Document

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example Updated for Intel Quartus Prime Design Suite: 18.1.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. High Bandwidth

More information

DDR and DDR2 SDRAM High-Performance Controller User Guide

DDR and DDR2 SDRAM High-Performance Controller User Guide DDR and DDR2 SDRAM High-Performance Controller User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Operations Part Number MegaCore Version: 8.0 Document Version: 8.0 Document

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Intel Cyclone 10 External Memory Interfaces IP User Guide

Intel Cyclone 10 External Memory Interfaces IP User Guide Intel Cyclone 10 External Memory Interfaces IP User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Cyclone

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

AN 459: Guidelines for Developing a Nios II HAL Device Driver

AN 459: Guidelines for Developing a Nios II HAL Device Driver AN 459: Guidelines or Developing a Nios II HAL Device Driver November 2008 AN-459-2.0 Introduction This application note explains the process o developing and debugging a hardware abstraction layer (HAL)

More information

Arria 10 External Memory Interface Design Guidelines

Arria 10 External Memory Interface Design Guidelines Arria 10 External Memory Interface Design Guidelines Quartus II Software v13.1 Arria 10 Edition Arria 10 design guidelines are preliminary and subject to change 1 Contents Introduction Software requirements

More information

Upgrading to UniPHY-based Controllers from ALTMEMPHY-based Controllers

Upgrading to UniPHY-based Controllers from ALTMEMPHY-based Controllers Upgrading to UniPHY-based Controllers from ALTMEMPHY-based Controllers 14 emi_rm_012 Subscribe The following topics describe the process of upgrading to UniPHY from DDR2 or DDR3 SDRAM High-Performance

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix 10 I/O

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

High Speed Memory Interfacing 800MHz DDR3 Memory Lab

High Speed Memory Interfacing 800MHz DDR3 Memory Lab High Speed Memory Interfacing 800MHz DDR3 Memory Lab Software Requirements Overview 1) The Quartus II software version 11.1 2) Modelsim software version 11.1 This lab focuses on compiling and simulating

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Implementing OCT Calibration in Stratix III Devices

Implementing OCT Calibration in Stratix III Devices Implementing OCT Calibration in Stratix III Devices October 2007, version 1.0 Application Note 465 Introduction Use of the on-chip termination (OCT) scheme in Stratix III devices eliminates the need for

More information

External Memory Interfaces Intel Arria 10 FPGA IP User Guide

External Memory Interfaces Intel Arria 10 FPGA IP User Guide External Memory Interfaces Intel Arria 10 FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. External

More information

6. I/O Features in Arria II Devices

6. I/O Features in Arria II Devices 6. I/O Features in Arria II Devices December 2011 AIIGX51006-4.2 AIIGX51006-4.2 This chapter describes how Arria II devices provide I/O capabilities that allow you to work in compliance with current and

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide 2017.05.08 UG-01089 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 I/O

More information

DDR3 SDRAM High-Performance Controller User Guide

DDR3 SDRAM High-Performance Controller User Guide DDR3 SDRAM High-Performance Controller User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number MegaCore Version: 8.0 Document Date: May 2008 Copyright 2008 Altera Corporation.

More information

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Device

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide PCB Design Tools Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Simultaneous Switching

More information

10. Simulating Memory IP

10. Simulating Memory IP 10. Simulating Memory IP November 2012 EMI_DG_009-5.1 EMI_DG_009-5.1 This chapter describes the simulation basics so that you are aware of the supported simulators and options available to you when you

More information

Intel Stratix 10 External Memory Interfaces IP User Guide

Intel Stratix 10 External Memory Interfaces IP User Guide Intel Stratix 10 External Memory Interfaces IP User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Technical Note. ONFI 4.0 Design Guide. Introduction. TN-29-83: ONFI 4.0 Design Guide. Introduction

Technical Note. ONFI 4.0 Design Guide. Introduction. TN-29-83: ONFI 4.0 Design Guide. Introduction Introduction Technical Note ONFI 4.0 Design Guide Introduction The ONFI 4.0 specification enables high data rates of 667 MT/s and 800 MT/s. These high data rates, along with lower input/output capacitance,

More information

External Memory Interfaces Intel Stratix 10 FPGA IP User Guide

External Memory Interfaces Intel Stratix 10 FPGA IP User Guide External Memory Interfaces Intel Stratix 10 FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. External

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

MAX 10 FPGA Signal Integrity Design Guidelines

MAX 10 FPGA Signal Integrity Design Guidelines 2014.12.15 M10-SIDG Subscribe Today s complex FPGA system design is incomplete without addressing the integrity of signals coming in to and out of the FPGA. Simultaneous switching noise (SSN) often leads

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

PCB Design Tools User Guide

PCB Design Tools User Guide PCB Design Tools User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Signal Integrity

More information

Building Gigabit Interfaces in Altera Transceiver Devices

Building Gigabit Interfaces in Altera Transceiver Devices Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler November 2005, Compiler Version 3.2.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 3.2.0 of the DDR & DDR2 SDRAM

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

ALTERA FPGA Design Using Verilog

ALTERA FPGA Design Using Verilog ALTERA FPGA Design Using Verilog Course Description This course provides all necessary theoretical and practical know-how to design ALTERA FPGA/CPLD using Verilog standard language. The course intention

More information

External Memory Interface Handbook

External Memory Interface Handbook External Memory Interface Handbook Volume 3: Reference Material Last updated for Altera Complete Design Suite: 14.1 Subscribe EMI_RM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 External

More information

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER USER MANUAL V3.11 126-4056 Meadowbrook Drive London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic information

More information

Understanding Signal to Noise Ratio and Noise Spectral Density in high speed data converters

Understanding Signal to Noise Ratio and Noise Spectral Density in high speed data converters Understanding Signal to Noise Ratio and Noise Spectral Density in high speed data converters TIPL 4703 Presented by Ken Chan Prepared by Ken Chan 1 Table o Contents What is SNR Deinition o SNR Components

More information

Microtronix Streaming Multi-Port SDRAM Memory Controller

Microtronix Streaming Multi-Port SDRAM Memory Controller Microtronix Streaming Multi-Port SDRAM Memory Controller User Manual V4.2 126-4056 Meadowbrook Drive, London, Ontario N6L 1E3 CANADA www.microtronix.com Document Revision History This user guide provides

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

9. Building Memory Subsystems Using SOPC Builder

9. Building Memory Subsystems Using SOPC Builder 9. Building Memory Subsystems Using SOPC Builder QII54006-6.0.0 Introduction Most systems generated with SOPC Builder require memory. For example, embedded processor systems require memory for software

More information

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents Interfacing Intel FPGA Devices with 3.3/3.0/2.5

More information

8. Introduction to UniPHY IP

8. Introduction to UniPHY IP 8. Introduction to Uni IP November 2011 EMI_RM_008-1.1 EMI_RM_008-1.1 The Altera and SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, and RLDRAM II controller with Uni provide

More information

Keysight Technologies Specifying Calibration Standards and Kits for Keysight Vector Network Analyzers. Application Note

Keysight Technologies Specifying Calibration Standards and Kits for Keysight Vector Network Analyzers. Application Note Keysight Technologies Speciying Calibration Standards and Kits or Keysight Vector Network Analyzers Application Note Introduction Measurement errors in network analysis can be separated into two categories:

More information

HYPERLYNX DDR3 Wizard

HYPERLYNX DDR3 Wizard HYPERLYNX DDR3 Wizard w w w. m e n t o r. c o m HyperLynx DDR3 Wizard Virtual Lab Exercise Guide This tutorial outlines the steps for completing a DDR3 Wizard DDR analysis example in HyperLynx BoardSim.

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide

External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. External Memory

More information

A Requirement Specification Language for Configuration Dynamics of Multiagent Systems

A Requirement Specification Language for Configuration Dynamics of Multiagent Systems A Requirement Speciication Language or Coniguration Dynamics o Multiagent Systems Mehdi Dastani, Catholijn M. Jonker, Jan Treur* Vrije Universiteit Amsterdam, Department o Artiicial Intelligence, De Boelelaan

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

6. I/O Features for HardCopy IV Devices

6. I/O Features for HardCopy IV Devices 6. I/O Features for HardCopy IV Devices March 2012 HIV51006-2.3 HIV51006-2.3 This chapter describes the I/O standards, features, termination schemes, and performance supported in HardCopy IV devices. All

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 9.1 Document Date: November 2009 Copyright 2009 Altera Corporation. All rights

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights

More information

Building Interfaces with Arria 10 High-Speed Transceivers

Building Interfaces with Arria 10 High-Speed Transceivers Building Interfaces with Arria 10 High-Speed Transceivers Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 20- nm embedded transceivers found

More information