Microtronix Streaming Multi-Port SDRAM Memory Controller

Size: px
Start display at page:

Download "Microtronix Streaming Multi-Port SDRAM Memory Controller"

Transcription

1 Microtronix Streaming Multi-Port SDRAM Memory Controller User Manual V Meadowbrook Drive, London, Ontario N6L 1E3 CANADA

2 Document Revision History This user guide provides basic information about using the Microtronix Streaming Multi-port SDRAM Memory Controller IP Core (PN: 6248-xx-xx). The table below shows the revision history. Date Description April 2007 Initial Release Version 1.0 May 2007 August 2007 September 2007 November 2007 December 2007 May 2008 August 2008 March 2009 June 2009 July 2009 July 2009 February 2010 July 2010 August 2011 May 2012 October, 2013 Version 1.1 Added Cyclone III support Version 1.2 Added Quartus 7.1 patch info Version 1.3 Added 10 ports and byte enable support Version 1.4 Updated timing diagrams Version 1.5 Changed BUSY behavior Version 1.6 Added Mobile DDR deep power down support Version 1.7 Added support for Stratix III & Arria GX Version 1.8 Changed BUSY behavior Version 1.9 Clarified init address and burst length requirements for DDR2 Version 2.0 Added SDC file creation Version 2.1 Updated write port description Version 2.2 Added Cyclone IV support Version 2.3 Added Arria II GX support Version 2.4 Added Stratix IV support Version 2.5 Added SystemVerilog version Version 4.2 Add Cyclone V support Page 2 of 30

3 How to Contact Microtronix Sales Information: Support Information: Website General Website: FTP Upload Site: Phone Numbers General: (001) Fax: (001) Typographic Conventions Path/Filename [SOPC Builder]$ <cmd> Code A path/filename A command that should be run from within the Cygwin Environment. Sample code. Indicates that there is no break between the current line and the next line. Page 3 of 30

4 Table of Contents Document Revision History... 2 How to Contact Microtronix Website... 3 Phone Numbers... 3 Typographic Conventions... 3 Features... 5 Introduction... 6 Local Ports... 8 Write Port... 8 Read Port Design Flow DQ/DQS Pin Assignments SDR SDRAM DDR, DDR2 and Mobile SDRAM Timing Performance Resource Requirements Simulation Verification Installation License FAQ Page 4 of 30

5 Features Support for Single Data-Rate, Double Data-Rate DDR & DDR2 and Mobile DDR SDRAM Memory Devices Unique DDR/DDR2 Round Trip Independent Capture Scheme Advanced Performance Architecture o o o o Optimized for streaming transfers Up to 10 port interfaces User configurable FIFO for each system port Operates with Memory clock independent of system clock speed Support for OpenCore Plus evaluation Quartus reference designs Simulation Model TimeQuest Timing Analyzer support for DDR, DDR2 and Mobile DDR devices Supported devices: o o o Cyclone II, III, IV, V Stratix II, III and IV Arria GX, Arria II GX Page 5 of 30

6 Introduction The Microtronix Streaming Multi-port SDRAM Controller IP-Core (PN: 6248-xx-xx)) provides a complete, easy-to-use solution to interface with a wide variety of SDRAM memory devices. Both single data-rate (SDR) and double data-rate (DDR, DDR2 & Mobile DDR) devices are supported. The memory controller is designed to support high-performance multiport system configurations using a native RD/WR local bus interface. It is optimized for Altera Stratix and Cyclone family of programmable logic devices. The SDRAM Memory Controller handles all memory tasks, including refresh and device initialization cycles. The IP Core is designed to operate asynchronous to the system clock. Clocking the IP-Core at the same frequency as the SDRAM memory maximizes system performance. The DDR Memory Controller IP uses a unique source-synchronous design architecture to capture the high-speed double-data rate data from the memory device independent of the memory round-trip. This proprietary technology simplifies memory interface design by removing the need for extra resynchronization clocks and maximizes the performance of the memory system. Figure 1: Block Diagram of Streaming Multi-port SDRAM IP Core Page 6 of 30

7 Figure 2: SDR IP Core Signal Connections Figure 3: DDR / DDR2 IP Core Signal Connections Page 7 of 30

8 Local Ports The SDRAM memory controller has up to ten local ports. Each port can be configured as a read or write port. The ports are optimized for streaming transfers. An internal FIFO acts as a bridge between the local interface and the SDRAM memory. In single-data-rate mode the ports have the same width as the memory data path. For all doubledata-rate modes the data width is twice the width of the SDRAM memory data bus. Arbitration between ports occurs in a round-robin manner in the SDRAM clock domain. Write Port Figure 4 shows the beginning of a write transfer. The write clock is unrelated to the SDRAM memory clock. On the rising edge of the INIT signal the starting address is latched. Any remaining data in the FIFO is flushed to the SDRAM memory. Data can be written by activating the write enable (WE). The write enable can be deasserted and reasserted as needed. The byte enable (BE) is active high. Figure 4: Write Initialization Transfers are not limited to the size of the internal FIFO. The write port will transfer data to the SDRAM as needed in blocks of half the FIFO size. When the write port is unable to accept more data into its FIFO, the BUSY signal is asserted. Once more data has been moved from the FIFO into the SDRAM, BUSY is deasserted. Writing data while BUSY is asserted can overrun the FIFO and cause undefined behavior. Note that BUSY will be asserted one cycle ahead of the FIFO being full, allowing WE to be deasserted on the following cycle (Figure 5). Page 8 of 30

9 Figure 5: Write Busy Figure 6 shows how to force a flush of the write FIFO by asserting INIT after completing a write transfer. Once the INIT is signaled, the port will write out all data remaining to SDRAM. It is not necessary to perform this flush cycle as the data will be flushed the next time INIT is asserted, but it may be useful for coordinating between multiple ports. If BUSY is still asserted from the previous writes, the flush should be deferred until BUSY is deasserted. Figure 6: Write Flush The write port has minimum delays between events that must be ensured for proper operation. These delays are listed in Table 1. Page 9 of 30

10 Table 1: Write Port Timing Parameter Description Delay Ti-w INIT to WE delay 1 clock cycle Tw-i WE to INIT delay 2 clock cycles Tb-i BUSY to INIT delay 1 clock cycle DDR2 Limitations When the memory architecture is DDR2, each write must start on an even address and contain an even number of words. This is due to DDR2 s minimum burst size of four. Read Port Figure 7 shows a read transfer. The read port clock is independent of the SDRAM memory clock domain. Upon a rising edge of the INIT signal the starting address is latched and the read port starts prefetching the data from the SDRAM memory. This is indicated by the BUSY signal. There is a delay between the rising edge of INIT and the rising edge of BUSY, see Table 2 below. After the BUSY signal is de-asserted by the read port, data can read by activating the read enable (RE). The read port will assert the data enable (DE) to indicate valid read data. DE assertion will be delayed from RE (see Table 2). DE will be asserted for the same number of clock cycles that RE is asserted. The read enable can be deasserted and reasserted as needed. Figure 7: Read Initialization Transfers are not limited to the size of the internal FIFO. After the initial prefetch, the read port will fetch data from the SDRAM as needed in blocks of half the FIFO size. The BUSY signal is asserted when data Page 10 of 30

11 is no longer available in the FIFO. Once more data is read from the SDRAM, BUSY will be deasserted. Reading while BUSY is asserted can underrun the FIFO and produce undefined behavior. Figure 8: Read Busy Once the last word of data is read from the read port, a new transfer can begin immediately by asserting INIT. If BUSY is still asserted from the previous read transfer, the INIT sequence should be deferred until BUSY is deasserted. Figure 9: Read Complete The UNDERRUN signal is activated when the FIFO has been read empty and is still waiting on data from SDRAM. An INIT will clear the UNDERRUN condition. Page 11 of 30

12 Table 2: Read Port Timing Parameter Description Delay Ti-b INIT to BUSY delay 2 clock cycles Tr-d RE to DE delay 3 clock cycles DDR2 Limitations When the memory architecture is DDR2, each read must start on an even address and contain an even number of words. This is due to DDR2 s minimum burst size of four. Design Flow The following steps describe how to integrate the SDRAM controller in a Quartus project. Open a windows command prompt or linux terminal window. Browse to the SDRAM wizard directory <install_dir>/wizard Start the wizard by typing java jar mtx_sdram_gui.jar Figure 10: Wizard Overview Page 12 of 30

13 Click on the Project tab. Use the browse button to select a new project or load an existing project. Select Device, Speed Grade and Language Figure 11: Project Tab Page 13 of 30

14 Click on the Memory tab to select the SDRAM memory properties. All of the properties should match those of the SDRAM devices on the board. Figure 12: Memory Tab The data width should be selected to reflect the total width of the memory data path. The total devices parameter is the number of SDRAM chips in parallel that make up the memory bank. The DQS signals per device parameter must be set to the number of DQS signals on one memory chip on the board. The most common configuration is one DQS signal per eight bits of data width. For example, a board with two 16-bit memory chips in Page 14 of 30

15 parallel would have a data width of 32, a total devices count of 2 and a DQS per device count of 2. The number of clock pairs indicates the total number of differential clock pairs (CLK_P/CLK_N) connected to all memory devices. It will depend on the board s memory architecture. A single memory device would only have one clock pair whereas a standard DDR2 DIMM would have three clock pairs. The Reduced Drive Strength option is used to reduce the memory output drive strength. This option is used in light load memory configurations. The Deep Power Down Support option is used to enable support for the deep power down mode of Mobile DDR. This option adds two additional signals to the top-level SOPC block. A high level on the CONTROL_DPD input is used to signal the memory controller to put the Mobile DDR into deep power down mode. The CONTROL_POWER_STATE output indicates when the SDRAM device is powered and initialized. When the device is in deep power down mode the CONTROL_POWER_STATE output will be low. After deasserting CONTROL_DPD to return to normal power mode, the user must wait until CONTROL_POWER_STATE returns to a high level before attempting to access SDRAM. Note: Mobile DDR does not retain data in deep power down mode. The On-Die Termination Support option is used to support DDR memory devices incorporating on-die termination. The available termination options are 50, 75 or 150 ohms. The SDRAM GUI uses a prefix (e.g. sdram_) for the names of all memory interface pins and it expects that these pins have a fixed name as shown in Table 3. The pin prefix can be modified on the Memory tab in the GUI. Page 15 of 30

16 Table 3: SDRAM Pins SDRAM Pin Function SDRAM Pin Name (without prefix) Direction Polarity Clock Enable cke Output Active High Bank Address ba Output Active High Address a Output Active High Chip Select cs Output Active Low Row Address Strobe ras Output Active Low Column Address Strobe cas Output Active Low Write Enable we Output Active Low Data dq Bidirectional Active High Data Mask dm Output Active High Data Strobe dqs Bidirectional Active High SDR Clock Out clk_out Output Active High DDR Clock Out Positive clk_out_p Output Active High DDR Clock Out Negative clk_out_n Output Active Low On Die Termination odt Output Active High DQ/DQS Pin Assignments Unlike most SDRAM cores, the Microtronix memory controller is more flexible in the use of IO for the memory interface. For Stratix and Arria devices, the dedicated DQ / DQS pins must be used and all Quartus DQ-group rules must be obliged. For Cyclone only the dedicated DQS pins must be used. In this device family, the dedicated FPGA DQ IO pins are not required for the data, address and chip select signals. NOTE: On Cyclone devices the DQS signal requires the use of the DDL for a delay element. Therefore, use only the pins in the dataset labeled DQS / DPCLK as these have a delay element. Page 16 of 30

17 Click the Timing tab. Enter the SDRAM memory timing parameters. All timing parameters are found in the SDRAM memory datasheet. Figure 13: Timing Tab Page 17 of 30

18 The Local Ports tab configures the local bus interface. Up to ten ports can be selected. The buffer size selects the number of words that can be temporary held in the FIFO. A bigger buffer size gives more performance, but will also consume more memory resources and the duration of the busy state will be longer. Figure 14: Local Ports Tab Page 18 of 30

19 The SDC tab is used to enter the memory device specific timing parameters found in the datasheet supplied by the vendor of the memory device. This tab is an extension to the timing tab. The information entered under this tab is used in the Synopsys Design Constraint (SDC) script required by TimeQuest. The SDC script defines the timing constraints and specifications to validate the timing performance of the memory controller logic in the FPGA. The script is written in TCL command language and will be automatically generated when the SDRAM controller is added to the SOPC Builder system. SDC file creation is not supported for SDR memory. If desired, check the Enable SDC File Creation option (not supported for SDR memory). Enter the values for the SDC-specific parameters. Most values will come from the memory device data sheet. Clock cycle time is the period of the memory clock. PLL input clock period is the period of the clock used as input to the PLL that generates the memory clock. Figure 15: SDC File Tab Page 19 of 30

20 Click on Generate to start the SDRAM generation. The wizard writes a top level SDRAM entity. The wizard also generates a TCL script (<project>_assignments.tcl), which sets the Quartus II SDRAM assignments. If using a Cyclone II device and the DDR(2) architecture is chosen, a second TCL script (<project>_ddr_locations.tcl) is generated. This TCL script places the important DDR cells at the correct location in the device. It is automatically run after the first compilation. Start Quartus II and open the project. Add the SDRAM component to the project and connect the signals. If the selected language is VHDL, add the mtx_streaming_sdram_package to the project files (Assignments -> Settings -> Files). The mtx_streaming_sdram_package is located in the directory <install_dir>/synthesis Add the directory <install_dir>/synthesis to the Quartus project libraries for VHDL. Add the directory <install_dir>/synthesis/systemverilog for SystemVerilog (Assignments -> Settings -> Libraries) Run the TCL script <project>_assignments.tcl (Tools -> TCL Scripts ) If the design is using TimeQuest, the generated SDC file must be customized to match your project. At the minimum the following lines must be modified. create_clock -name clk1 -period $pll_ref_clk [get_ports CLK] set system_clk {inst1 altpll_component auto_generated pll1 clk[2]} set sdram_clk {inst1 altpll_component auto_generated pll1 clk[0]} set sdram_write_clk {inst1 altpll_component auto_generated pll1 clk[1]} Replace CLK with the clock input pin name. Replace inst1 with the instance name of the PLL generating the memory clock. See the comments in the SDC file for more information. Start the compilation. Page 20 of 30

21 SDR SDRAM In a single-data rate SDRAM system, a PLL is used to generate the various SDRAM clocks. The first PLL output generates the SDRAM clock and it runs at the maximum SDRAM frequency (depending on the speed grade of the programmable logic and SDRAM device). The capture clock is connected to the second PLL output and it is used to correctly read the high-speed data from the SDRAM device. It runs at the same frequency as the SDRAM clock, but it is shifted in phase. The third PLL output drives the clock off-chip to the SDRAM device. Again this clock runs at the same frequency as the SDRAM clock, but it is phase shifted. Figure 16: SDR Top Level Page 21 of 30

22 Use the MegaWizard Plug-in Manager in Quartus to generate the SDRAM PLL. For the first compile select no phase shift for each PLL output and compensate for the SDRAM clock (c0). After the first compilation, the SDRAM script calculates the required phase shifts automatically. Add the PLL to the top level and start the compilation (don t forget to assign the SDRAM pin locations and I/O standards before starting the compilation). After a successful compilation edit the SDRAM PLL using the MegaWizard and update the phase shift according to the settings found in the Quartus compilation report > Microtronix SDRAM Controller. Recompile the design to apply the new PLL settings and the SDRAM controller is ready to be used. Figure 17: SDR SDRAM PLL Settings Page 22 of 30

23 DDR, DDR2 and Mobile SDRAM In a double-data rate system the SDRAM clocks are generated by a PLL. The first PLL output drives the SDRAM clock and it runs at the maximum SDRAM frequency. The second PLL output is connected to the SDRAM write clock and it runs at the same SDRAM frequency. Use the Altera MegaWizard to generate the SDRAM PLL. The SDRAM clock is not phase shifted and the SDRAM write clock is phase shifted 90 degrees. Add the PLL to the top level and start compilation (don t forget to assign the SDRAM pin locations before starting the compilation). After the first compilation an automatic generated script locates the most critical DDR cells and moves them to fixed locations close to the SDRAM pins. This ensures the maximum performance and best timing. Recompile the design to apply the new DDR locations and the SDRAM controller is ready for use. Figure 18: DDR Top Level Page 23 of 30

24 Timing The SDRAM controller is a fully synchronous design and covers multiple clock domains. All signal crossing from different clock domains are guaranteed by the core design. If the Quartus timings analyzer reports a timing violation between two clock domains, then this path must be flagged as a false timing path. Performance Table 4 shows the maximum performance results for the SDRAM controller. Actual performance may be affected by the system LE count, memory width, FPGA pin assignments and memory device. Table 4: Maximum SDRAM Performance Device Speed Grade (Commercial) System Fmax (MHz) SDR DDR DDR2 Mobile DDR Arria II GX Arria GX Stratix III Stratix II Cyclone V Cyclone IV E -8L L Cyclone IV GX Cyclone III Page 24 of 30

25 Cyclone II Resource Requirements Table 5 shows the typical size in logic elements (LE) for the various SDRAM Controller modules. The actual number of logic elements may vary depending on the device family and Quartus settings. The table shows the minimal M4K RAM blocks usage. If a large buffer size is selected, the number of M4K RAM blocks may increase. Table 5: FPGA Resource Requirements Module LE * M4K RAM Blocks * SDRAM Controller Write Port Read Port *Note: The number of logic element resources depends on the memory architecture, data width and cache settings. The numbers shown in the table are for a 16-bit DDR SDRAM implementation with the default FIFO size. Simulation A precompiled simulation library is provided for performing simulations using ModelSim. The library is located in the <install_dir>/simulation directory. Perform the following steps to simulate your design with the SDRAM memory controller. 1. Launch ModelSim 2. Map the SDRAM memory controller library. At the ModelSim prompt type: VHDL: vmap mtx_streaming_sdram <install_dir>/simulation/mtx_streaming_sdram SystemVerilog: vmap mtx_streaming_sdram <install_dir>/simulation/mtx_streaming_sdram_sv If you use a newer version of ModelSim, you must refresh the precompiled library. At the Modelsim prompt type; VHDL: vcom refresh work mtx_streaming_sdram Page 25 of 30

26 SystemVerilog: vlog sv suppress 2583 refresh work mtx_streaming_sdram 3. Compile the sdram top level. Eg. If the sdram controller was named sdram in the SOPC Builder, then type; VHDL: vcom 93 sdram.vhd SystemVerilog: vlog sv suppress 2583 sdram.sv 4. Compile all of the design files 5. Start the ModelSim simulation by typing; vsim t ps L mtx_streaming_sdram <top_level> Verification The SDRAM controller has been verified on Altera and other FPGA development boards. Table 6 shows the hardware platforms on which the IP core has been tested and the memory devices contained on each board. Table 6: Supported Platforms and Memory Devices Development Board Altera Device SDRAM Device Terasic DE4 EP4SGX230KF40C2 DSL DDR GB CL5 module Altera Arria II GX FPGA Development Board Altera Arria GX Development Kit Altera Stratix III FPGA Development Kit ViClaro III ViClaro II Altera Cyclone III Starter Kit ViClaro FireFly II Sendero Sendero EP2AGX125EF35 EP1AGX60DF780 EP3SL150F1152C2 EP3C128F780C7 EP2C35F484C6 EP3C25F324C8 EP2C20F256C7 EP2C20F484C8 EP2C35F672C6 EP2C35F672C6 Micron MT8HTF12864HZ-800H1 (DDR2) Micron MT47H32M16 (DDR2) Micron MT47H32M8BP-3 (DDR2) Micron MT47H32M16BN-3 (DDR2) Micron MT47H16M16BG-3 (DDR2) PowerChip A2S56D40CTP-G5 (DDR) ISSI IS42S16800B-7TL (SDR) Micron MT48LC8M32B2B5-7 (SDR) ISSI IS43R16160A-6T (DDR) Infineon HYB25D256160CE-5 (DDR) Page 26 of 30

27 Vivien EP2C5T144C6 ISSI IS42S16100C1-6TL (SDR) Page 27 of 30

28 Altera Nios Board Cyclone II Edition Sasco Holz Pablo EP2C35F672C8 EP2C20F484C6 Micron MT46V16M16-6T (DDR) Micron MT46H8M32LFB5-6 (Mobile DDR) Installation Follow these steps to install the Microtronix Streaming Multi-Port SDRAM Controller on your computer. 1. Insert the Microtronix Streaming Multi-Port SDRAM Controller Installation CD into your CD-ROM (or equivalent) 2. The setup program for the package should start. If it doesn t, browse to the CD using Windows Explorer and double-click on the setup icon. 3. Follow all the prompts. License A valid IP core license is required from Microtronix to generate program files incorporating the SDRAM IP core. These licenses are generated based on a NIC or Guard ID supplied by the user. They can be either server or workstation based. After purchasing a license you receive your license file. Copy the license file (license.dat) to your current Quartus license file and the SDRAM controller (CC21_6248) will show in the Quartus License Setup. With the free OpenCore Plus feature the SDRAM controller can be evaluated in real hardware. The OpenCore Plus feature requires an evaluation license from Microtronix. Please contact Microtronix for licensing details. Page 28 of 30

29 FAQ Q. Why does Quartus report the error Error: DQS I/O pin does not feed a Clock Delay Control block? A. For Cyclone II devices the DQS signals must be assigned to the dedicated DQS pins. If the dedicated pins are used, then open the assignment editor and remove the assignment DQS Frequency. Q. Do you have any recommendations for layout of my SDRAM memory board? A. Microtronix does not have specific layout recommendations. Please follow the layout guidelines of your SDRAM manufacturer. For example, Micron s recommendations for DDR/DDR2 design can be found at ox.aspx Q. My DDR / DDR2 SDRAM memory board layout includes external parallel termination resistors. Is this supported? A. During idle the parallel termination resistor pulls the DQ/DQS signal to the VTT voltage level, which is the same level as the VREF voltage. The SSTL input buffer is a comparator and this causes unwanted glitches inside the programmable device. For DDR2 memory architectures using On-Die Termination (ODT) is preferable and this feature gives better SI results than external parallel termination. To support external parallel termination one of the following steps must be applied to the board. 1. In designs using a point-to-point memory architecture (short DQ/DQS traces) and single parallel termination resistors, remove the resistor connected to DQS0. 2. In designs incorporating a more complex memory architecture (longer traces, DIMM sockets) or resistors packages, adjust the VREF voltage by using the voltage divider. Page 29 of 30

30 DDR POWER SUPPLY DDR / R1 = 1.5K DDR2 / R1 = 1.27K VREF R2 = 1K Page 30 of 30

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER USER MANUAL V3.11 126-4056 Meadowbrook Drive London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic information

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

Microtronix Video LVDS SerDes Transmitter / Receiver IP Core

Microtronix Video LVDS SerDes Transmitter / Receiver IP Core Microtronix Video LVDS SerDes Transmitter / Receiver IP Core User Manual Revision 2.2 4056 Meadowbrook Drive, Unmit 126 London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user

More information

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE USER MANUAL V1.0 Microtronix Datacom Ltd 126-4056 Meadowbrook Drive London, ON, Canada N5L 1E3 www.microtronix.com Document Revision History This user guide

More information

Microtronix Avalon I 2 C

Microtronix Avalon I 2 C Microtronix Avalon I 2 C User Manual 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com This user guide provides basic information about using the Microtronix Avalon I 2 C IP. The following

More information

Microtronix ViClaro IV GX Camera Link Development Kit

Microtronix ViClaro IV GX Camera Link Development Kit Microtronix ViClaro IV GX Camera Link Development Kit User Manual Revision 1.6.1 Unit 126-4056 Meadowbrook Drive London, ON Canada N6L 1E3 www.microtronix.com Document Revision History This User Manual

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler November 2005, Compiler Version 3.2.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 3.2.0 of the DDR & DDR2 SDRAM

More information

Microtronix Firefly II Module

Microtronix Firefly II Module Microtronix Firefly II Module USER MANUAL Revision 1.2.1 4056 Meadowbrook Dr. Unit 126 London, ON Canada N6L 1E3 www.microtronix.com This datasheet provides information regarding the Firefly II module.

More information

Microtronix ViClaro II Development Board

Microtronix ViClaro II Development Board Microtronix ViClaro II Development Board User Manual 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com Document Revision History This user guide provides basic information about using the

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

Microtronix Stratix III Broadcast IP Development Kit USER MANUAL REVISION Woodcock St. London, ON Canada N5H 5S1

Microtronix Stratix III Broadcast IP Development Kit USER MANUAL REVISION Woodcock St. London, ON Canada N5H 5S1 Microtronix Stratix III Broadcast IP Development Kit USER MANUAL REVISION 1.0 9-1510 Woodcock St. London, ON Canada N5H 5S1 www.microtronix.com Document Revision History This user guide provides basic

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408)

DDR SDRAM Controller. MegaCore Function User Guide. 101 Innovation Drive San Jose, CA (408) DDR SDRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Core Version: 1.2.0 Document Version: 1.2.0 rev 1 Document Date: March 2003

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction May 2008, v.1.2 Introduction Application Note 462 Many systems and applications use external memory interfaces as data storage or

More information

POS-PHY Level 2 and 3 Compiler User Guide

POS-PHY Level 2 and 3 Compiler User Guide POS-PHY Level 2 and 3 Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights reserved.

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

1. SDRAM Controller Core

1. SDRAM Controller Core 1. SDRAM Controller Core NII51005-7.2.0 Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows

More information

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 101 Innovation Drive San Jose, CA 95134 www.altera.com EMI_PLAN_PIN-3.0 Document

More information

DDR and DDR2 SDRAM High-Performance Controller User Guide

DDR and DDR2 SDRAM High-Performance Controller User Guide DDR and DDR2 SDRAM High-Performance Controller User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Operations Part Number MegaCore Version: 8.0 Document Version: 8.0 Document

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 64), 1GB(128 Meg x 64), 2GB (256 Meg x 64) JEDEC

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.1 Document Date: November 2008 Copyright 2008 Altera Corporation. All rights

More information

Graphics Controller Core

Graphics Controller Core Core - with 2D acceleration functionalities Product specification Prevas AB PO Box 4 (Legeringsgatan 18) SE-721 03 Västerås, Sweden Phone: Fax: Email: URL: Features +46 21 360 19 00 +46 21 360 19 29 johan.ohlsson@prevas.se

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

2. Recommended Design Flow

2. Recommended Design Flow 2. Recommended Design Flow This chapter describes the Altera-recommended design low or successully implementing external memory interaces in Altera devices. Altera recommends that you create an example

More information

PCI Express Compiler User Guide

PCI Express Compiler User Guide PCI Express Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 7.1 Document Date: May 2007 Copyright 2007 Altera Corporation. All rights reserved.

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

RLDRAM II Controller MegaCore Function User Guide

RLDRAM II Controller MegaCore Function User Guide RLDRAM II Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 8.0 Document Date: May 2008 Copyright 2008 Altera Corporation. All rights reserved.

More information

Analyzing Timing of Memory IP

Analyzing Timing of Memory IP 11 emi_dg_010 Subscribe The external memory physical layer (PHY) interface offers a combination of source-synchronous and self-calibrating circuits to maximize system timing margins. The physical layer

More information

QDRII SRAM Controller MegaCore Function User Guide

QDRII SRAM Controller MegaCore Function User Guide QDRII SRAM Controller MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 9.1 Document Date: November 2009 Copyright 2009 Altera Corporation. All rights

More information

Intel FPGA Temperature Sensor IP Core User Guide

Intel FPGA Temperature Sensor IP Core User Guide Intel FPGA Temperature Sensor IP Core User Guide UG-01074 2017.09.14 Subscribe Send Feedback Contents Contents... 3 Intel FPGA Temperature Sensor Features...3 Intel FPGA Temperature Sensor Functional Description...

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB Features DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB For component data sheets, refer to Micron's Web site: www.micron.com Figure 1: 240-Pin UDIMM (MO-237 R/C D) Features 240-pin, unbuffered dual in-line memory

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide 2017.05.08 UG-01089 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2

DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 DKAN0011A Setting Up a Nios II System with SDRAM on the DE2 04 November 2009 Introduction This tutorial details how to set up and instantiate a Nios II system on Terasic Technologies, Inc. s DE2 Altera

More information

ALTERA FPGA Design Using Verilog

ALTERA FPGA Design Using Verilog ALTERA FPGA Design Using Verilog Course Description This course provides all necessary theoretical and practical know-how to design ALTERA FPGA/CPLD using Verilog standard language. The course intention

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Errata Sheet for Cyclone V Devices

Errata Sheet for Cyclone V Devices Errata Sheet for Cyclone V Devices ES-1035-2.5 Errata Sheet Table 1. Device Issues (Part 1 of 2) This errata sheet provides information about known issues affecting Cyclone V devices. Table 1 lists the

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Error Check Correction (ECC) Support Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 72), 1GB(128

More information

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) Product Specification Rev. 1.0 2015 IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) 1GB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M72D1SOD8AG Version: Rev.

More information

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: www.micron.com 256MB, 512MB (x64, SR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin, unbuffered

More information

External Memory Interfaces in Cyclone V Devices

External Memory Interfaces in Cyclone V Devices External Memory Interfaces in Cyclone V Devices..8 CV-5 Subscribe Feedback The Cyclone V devices provide an efficient architecture that allows you fit wide external memory interfaces support a high level

More information

External Memory Interfaces in Cyclone V Devices

External Memory Interfaces in Cyclone V Devices .. CV- Subscribe The Cyclone V devices provide an efficient architecture that allows you fit wide external memory interfaces support a high level of system bandwidth within the small modular I/O bank structure.

More information

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) Product Specification Rev. 1.0 2015 IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) 1GB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M64D1DVD8AG Version: Rev.

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip 1 Objectives NIOS CPU Based Embedded Computer System on Programmable Chip EE8205: Embedded Computer Systems This lab has been constructed to introduce the development of dedicated embedded system based

More information

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC DDR SDRAM UDIMM MT16VDDF6464A 512MB 1 MT16VDDF12864A 1GB 1 For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide

High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example User Guide High Bandwidth Memory (HBM2) Interface Intel FPGA IP Design Example Updated for Intel Quartus Prime Design Suite: 18.1.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. High Bandwidth

More information

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide 2017.06.19 Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide UG-DDRMGAFCTN Subscribe The ALTDDIO IP cores configure the DDR I/O registers in APEX II, Arria II, Arria

More information

Laboratory Exercise 8

Laboratory Exercise 8 Laboratory Exercise 8 Memory Blocks In computer systems it is necessary to provide a substantial amount of memory. If a system is implemented using FPGA technology it is possible to provide some amount

More information

Intel Stratix 10 Analog to Digital Converter User Guide

Intel Stratix 10 Analog to Digital Converter User Guide Intel Stratix 10 Analog to Digital Converter User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

Section III. Transport and Communication

Section III. Transport and Communication Section III. Transport and Communication This section describes communication and transport peripherals provided for SOPC Builder systems. This section includes the following chapters: Chapter 16, SPI

More information

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: SODIMM MT8VDDT1664H 128MB 1 128MB, 256MB, 512MB (x64, SR) 200-Pin SODIMM Features MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: www.micron.com Features

More information

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB, 2GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

7. External Memory Interfaces in Cyclone IV Devices

7. External Memory Interfaces in Cyclone IV Devices March 2016 CYIV-51007-2.6 7. External Memory Interaces in Cyclone IV Devices CYIV-51007-2.6 This chapter describes the memory interace pin support and the external memory interace eatures o Cyclone IV

More information

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide

Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide 2015.01.23 Double Data Rate I/O (ALTDDIO_IN, ALTDDIO_OUT, and ALTDDIO_BIDIR) IP Cores User Guide UG-DDRMGAFCTN Subscribe The Altera DDR I/O megafunction IP cores configure the DDR I/O registers in APEX

More information

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8 DESCRIPTION The Ramaxel RML1531MH48D8F memory module family are low profile Unbuffered DIMM modules with 30.48mm height based DDR2 technology. DIMMs are available as ECC (x72) modules. The module family

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

DDR3 DIMM Slot Interposer

DDR3 DIMM Slot Interposer DDR3 DIMM Slot Interposer DDR3 1867 Digital Validation High Speed DDR3 Digital Validation Passive 240 pin DIMM Slot Interposer Custom Designed for Agilent Logic Analyzers Compatible with Agilent Software

More information

16. Design Debugging Using In-System Sources and Probes

16. Design Debugging Using In-System Sources and Probes June 2012 QII53021-12.0.0 16. Design Debugging Using In-System Sources and Probes QII53021-12.0.0 This chapter provides detailed instructions about how to use the In-System Sources and Probes Editor and

More information

2.5G Reed-Solomon II MegaCore Function Reference Design

2.5G Reed-Solomon II MegaCore Function Reference Design 2.5G Reed-Solomon II MegaCore Function Reference Design AN-642-1.0 Application Note The Altera 2.5G Reed-Solomon (RS) II MegaCore function reference design demonstrates a basic application of the Reed-Solomon

More information

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit)

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) RoHS Compliant Product Product Specification 1.0 1 IMM64M72D1SCS8AG Version: Rev. 1.0, MAY 2015 1.0 - Initial

More information

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x72, ECC, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Memory Module Specifications KVR667D2Q8F5K2/8G. 8GB (4GB 512M x 72-Bit x 2 pcs.) PC CL5 ECC 240-Pin FBDIMM Kit DESCRIPTION SPECIFICATIONS

Memory Module Specifications KVR667D2Q8F5K2/8G. 8GB (4GB 512M x 72-Bit x 2 pcs.) PC CL5 ECC 240-Pin FBDIMM Kit DESCRIPTION SPECIFICATIONS Memory Module Specifications KVR667DQ8F5K/8G 8GB (4GB 5M x 7-Bit x pcs.) PC-5300 CL5 ECC 40- FBDIMM Kit DESCRIPTION s KVR667DQ8F5K/8G is a kit of two 4GB (5M x 7-bit) PC-5300 CL5 SDRAM (Synchronous DRAM)

More information

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 1.0 2015 IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M64D1DVS8AG Version:

More information

DDR SDRAM SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB

DDR SDRAM SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB 512MB, 1GB (x64, DR) 200-Pin DDR SODIMM Features For component data sheets, refer to Micron s Web site: www.micron.com Features 200-pin, small-outline dual

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

1024MB DDR2 SDRAM SO-DIMM

1024MB DDR2 SDRAM SO-DIMM 1024MB DDR2 SDRAM SO-DIMM 1024MB DDR2 SDRAM SO-DIMM based on 128Mx8,8Banks, 1.8V DDR2 SDRAM with SPD Features Performance range ( Bandwidth: 6.4 GB/sec ) Part Number Max Freq. (Clock) Speed Grade 78.02G86.XX2

More information

PCI Express Compiler. PCI Express Compiler Version Issues

PCI Express Compiler. PCI Express Compiler Version Issues January 2007, Compiler Version 2.0.0 Errata Sheet This document addresses known errata and documentation issues for the PCI Express Compiler version 2.0.0. Errata are functional defects or errors, which

More information

POS-PHY Level 2 & 3 Compiler

POS-PHY Level 2 & 3 Compiler POS-PHY Level 2 & 3 Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com Core Version: 1.1.1 Document Version: 1.1.1 rev1 Document Date: July 2003 Copyright 2003 Altera

More information

Microtronix ViClaro IV-GX Video Host Board

Microtronix ViClaro IV-GX Video Host Board Microtronix ViClaro IV-GX Video Host Board USER MANUAL REVISION 1.0 4056 Meadowbrood Drive, Unit 126 London, ON, Canada N6L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram GR2DR4BD-E4GBXXXVLP is a 512M bit x 72 DDDR2 SDRAM high density ECC REGISTERED DIMM. The GR2DR4BD-E4GBXXXVLP consists of eighteen CMOS 512M x 4 STACKED DDR2 SDRAMs for 4GB

More information

PCI Express Compiler User Guide

PCI Express Compiler User Guide PCI Express Compiler User Guide 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com MegaCore Version: 6.1 Document Version: 6.1 rev. 2 Document Date: December 2006 Copyright 2006 Altera

More information

2. TriMatrix Embedded Memory Blocks in Stratix II and Stratix II GX Devices

2. TriMatrix Embedded Memory Blocks in Stratix II and Stratix II GX Devices 2. TriMatrix Embedded Memory Blocks in Stratix II and Stratix II GX Devices SII52002-4.5 Introduction Stratix II and Stratix II GX devices feature the TriMatrix memory structure, consisting of three sizes

More information

4K Format Conversion Reference Design

4K Format Conversion Reference Design 4K Format Conversion Reference Design AN-646 Application Note This application note describes a 4K format conversion reference design. 4K resolution is the next major enhancement in video because of the

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended.

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended. 148 advantage of low latency, read and write operations with auto-precharge are recommended. The MB81E161622 chip is targeted for small-scale systems. For that reason, the output buffer capacity has been

More information