Advanced ALTERA FPGA Design

Size: px
Start display at page:

Download "Advanced ALTERA FPGA Design"

Transcription

1 Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The second part covers Qsys tool that is used for building systems in FPGA. The third part covers high speed external memory interfaces design such as DDR3. The fourth part covers FPGA design optimizations such as LogicLock and incremental compilation. The course combines 50% theory with 50% practical work in every meeting. The practical labs cover all the theory and also include practical digital design. At the end of the course FPGA engineers will enhance their skills that needed for complex and high speed designs. Course Duration 8 days Goals 1. Design timing closure methodology like ALTERA experts 2. Analyze and solve timing problems with Quartus II software 3. Efficiently manage device clock resources and PLLs 4. Use TimeQuest advanced features 5. Build a system with Qsys 6. Implement high speed memory interfaces such as DDR3 7. Optimize FPGA design with LogicLock and incremental compilation

2 Intended Users FPGA engineers who would like to enhance their skills and design complex and high speed FPGA projects Previous Knowledge ALTERA FPGAs architecture Quartus II software ModelSim Course Material 1. Simulator: Modelsim 2. Synthesizer and Place & Route: Quartus II 3. ALTERA Evaluation board 4. Course book (including labs) Table of Contents Timing Closure Day #1-2 Timing Reports Review o Reporting settings o Reporting in TimeQuest o Most useful reports o Report timing: show routing o Detailed slack/path report o Report exceptions o Timing closure recommendations Recommended Methodology for Timing Closure o Setting expectations o Timing failure analysis flow o Working with messages

3 o Common timing constraint issues o Effect of incorrect timing constraints o Design assistant o Timing optimization advisor o Global and individual speed optimizations o Physical synthesis types o Asynchronous control signals and pipelining o Timing driven compilation (TDC) o Optimize hold timing o I/O optimizations o Report timing approaches o Evaluate results Analyzing & Solving Timing Failures o Using the chip planner o Cross-probe from TimeQuest o Shows delays and physical routing o Viewing routing congestion o Viewing high-speed and low-power tiles o Solutions for too many logic levels o Solutions for high fanout signals o Solutions for conflicting assignments o Solutions for tight timing requirements o Solutions for clock crossing timing failures o Solutions for clock skew The Fitter, Seeds & DSE o Fitter settings per architecture o Seeds and seed sweeping o The Magic seed o Seed sweep: monitoring a change o Design Space Explorer (DSE) o Exploration spaces o Recommendations using DSE Resource Utilization o What affects timing closure? o Logic utilization o Clustering difficulty o Interconnect utilization o Compile time o Interconnect & hold time o Repeatability o Balance hard/soft resource use Understanding Device Clocking Resources o Timing closure & clocking resources o Hierarchical clocking resources o Global clocks (GCLK) o Regional clocks (RCLK) o Peripheral clocks (PCLK) o Section clocks (SCLK)

4 o PLL o Clock control blocks o Utilizing global routing resources o Tradeoffs when using clock buffer o Resets and global networks Additional Timing Closure Topics o Close timing through over-constraining o Incremental compilation o Timing closure in Qsys systems o Common clock path pessimism removal (CCPP) o Synthesis netlist optimizations o Early timing estimate Day #3-4 Advanced Timing Analysis with TimeQuest SDC Review o Collections o Clock & I/O constraints o Timing exceptions Timing Analysis and Tcl o Quartus II executables & Tcl packages o Timing analysis Tcl packages o Running TimeQuest from command line o Running timing analysis during flow o SDC and Tcl examples Timing Exceptions o Most common multicycle use cases o Determining and applying multicycles o Examples for multicycle setup and multicycle hold o Verify multicycle with TimeQuest o Exception priorities o Clock enable with multicycle o Why standard SDC cpllections do not work o get_fanouts (Altera SDC extension) Constraining Source Synchronous Interfaces (SDR, DDR) o Source synchronous interfaces overview SDR center aligned clock SDR edge aligned clock Data captured on same edge Data captured on opposite edge o SDR input interface constraints Virtual clocks

5 Direct clocking: center aligned data PLL clocking: center aligned data PLL clocking: edge aligned data o Data input timing constraints Tco relative to input & output clock Input delay: setup/hold provided Input delay: center aligned Input delay: edge aligned Specification provides skew o SDR output interface constraints Common data and output clock PLL generated clock output DDIO registers Data output timing constraints Skew output constraints Output clock false path Edge aligned output multicycle exception DDIO output false path exception SDR analysis in TimeQuest o Source synchronous DDR interfaces Double data rate complexities DDR input and output logic o DDR input interface constraints Input clock 90 o phase shift Setting DDR input delay constraints o Timing exceptions for DDR inputs Same edge transfer Opposite edge transfer Using tsu/th requirements o DDR output interface constraints PLL generated clock output Toggling clock output register Setting output delay constraints Timing exceptions for DDR outputs Same edge transfers Opposite edge transfers Output clock false path Output constraints using skew o DDR analysis Output rising-edge setup/hold timing reports Feedback Designs o Clock feedback Required constraints Clock feedback example o Data feedback Required constraints Data feedback example Combined feedback techniques LVDS Timing Analysis (optional) o LVDS hardware o LVDS transmitter o Transmitter report

6 o Transmitter channel-to-channel skew (TCCS) o LVDS receiver o Dynamic Phase Alignment (DPA) o Non-DPA interface o Dedicated SERDES analysis (non-dpa) o Key LVDS specifications Time unit interval (TUI) Receiver sampling window (SW) Transmitter channel-to-channel skew (TCCS) Receiver channel-to-channel skew (RCCS) Receiver skew margin (RSKM) LVDS equation Three ways to use RSKM Constraining for link success example o Data receiver modes DPA/soft-CDR mode analysis Day #5 Introduction to the Qsys System Integration Tool What is Qsys? o Traditional system design o Automatic interconnect generation o Qsys benefits o Target Qsys applications o Qsys vs SOPC Builder o SOPC Builder systems in Qsys Qsys UI o Component library o System contents o System inspector o Address map o Clock settings o Project settings o Generation o HDL example o Messages o Other useful Qsys commands Using Qsys in FPGA Design Flow o FPGA hardware design flow o Additional Qsys verification support o Qsys system generation Qsys Files o Qsys source files

7 o Qsys output files Introduction to Qsys Interconnect o Qsys interconnect architecture o Qsys supported standard interfaces (Avalon, AXI) o Qsys interconnect implementation o Arbitration priority o Enables simultaneous multi-mastering o Qsys memory-mapped packet format o Packetized interconnect vs latency o NoC architecture o Master network interface o Slave network interface o Pipelining Using Qsys IP o Qsys standard interfaces for IP Clock Reset Avalon-ST Avalon-MM Avalon-C Avalon-TC AXI o Qsys IP Component library parameter editors Basic components Streaming components Memory components Tristate components Bridge components High-speed interface components Processor components Creating Custom Components o Custom components interconnect o Example custome components o Component editor Processor Interfaces o Interfacing FPGA with external processors o Memory mapped interface o High-speed serial interfaces o Good use of SPI/Avalon master bridge o Using the NIOS II processor o Using the SoC devices

8 Day #6-7 Implementing, Simulating & Debugging External Memory Interfaces Introduction to Altera s Memory Solutions o Current common memory interfaces o Memory selection criteria o ALTERA FPGAs support multiple interface types DDR3 Memory & Implementation o DDR3 memory basics o DDR3 leveling (read/write) o Component/DIMM implementation options DDR Logic Implementation in Altera FPGAs o Memory implementation in FPGAs o Cyclone V devices o Aria V devices o Stratix V / Arria V GZ devices o Example DQ/DQS block o FPGA external memory support & maximum supported frequencies Altera High-Speed Memory Interface IP o High performance controller II (HPC) o UniPHY o Multi-port front end (MPFE) Memory Interface Design Flow o Recommended memory interface design flow o Parameterize with the MegaWizard Plug-In Manager o Quartus II project settings Functionality and Simulation of a Memory System o Controller operation and connection to user logic o Performing a simulation Board and Termination Considerations o Assigning I/O constraints o Termination settings and options Timing Analysis o Timing components o Timing closure DDR2/3 Controllers with UniPHY EMIF Toolkit o Enabling communication via CSR port

9 o EMIF toolkit o Calibration troubleshooting Memory Interfaces with a NIOS II Processor and Qsys o Accessing memory from NIOS II processor o Qsys systems o Memory IP in Qsys o Example design in Qsys Multiple Memory Controllers in a Single FPGA o Multiple memory interfaces o Creating multiple memory controllers o DLLs in Stratix FPGAs o PLL/DLL/OCT sharing o Example of full resource sharing o Stratix V multiple interface guidelines o Efficiently fitting memory interfaces Day #8 Design Optimization Using Incremental Compilation & LogicLock An Introduction to Incremental Compilation o Top-down design flow o Single project design flow issues o Team based design flow issues o Incremental compilation definition o Compilation flow o How incremental compilation works o Considering FPGA design trade-offs o Setting expectations o When not to use incremental compilation o Planning considerations Design Partitions o What are design partitions? o Partition recommendations o Design planning o Design guidelines Design Partition Tools & Interface o Creating design partitions o Design partitions window o Partition netlist types o Fitter preservation levels o Design partition properties o Assessing partition quality

10 o Design partition planner o Chip planner Design Partition Tips and Techniques o Quick multi-partition top-level file o Creating black-box wrapper files o Use empty partition resources for debugging o Fast compiles with SignalTap II o Partition I/O interfaces (DDR3, PCIe,RapidIO) o Timing closure with incremental compilation o Hierarchy isolation o Strategy for maximizing performance LogicLock Regions and Floorplanning o Physical partitioning o Why floorplan is important o Floorplan based on design o Analyze the unfloorplanned fit o Early ve late floorplan LogicLock Region Tools o Defining LogicLock regions o LogicLock region types o LogicLock regions window o Reserved regions o Excluded elements o Region properties o Creating non-rectangular regions o Parent & children regions o Assigning logic to region o Placement of LogicLock regions o LogicLock region resources Floorplanning Tips and Strategies o Create floorplan o Early timing estimate (ETE) o Assigning regions manually o Creating a late floorplan o Floorplan recommendations Single and Multi-Project Design Flows o Top-down flow o Team-based flow Restrictions and Limitations

Introduction to the Qsys System Integration Tool

Introduction to the Qsys System Integration Tool Introduction to the Qsys System Integration Tool Course Description This course will teach you how to quickly build designs for Altera FPGAs using Altera s Qsys system-level integration tool. You will

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Building Interfaces with Arria 10 High-Speed Transceivers

Building Interfaces with Arria 10 High-Speed Transceivers Building Interfaces with Arria 10 High-Speed Transceivers Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 20- nm embedded transceivers found

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software Cover TBD intel Quartus prime Design software Fastest Path to Your Design The Intel Quartus Prime software is revolutionary in performance and productivity for FPGA, CPLD, and SoC designs, providing a

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

Quartus II Prime Foundation

Quartus II Prime Foundation Quartus II Prime Foundation Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with Quartus Prime design software. The course combines

More information

ALTERA FPGA Design Using Verilog

ALTERA FPGA Design Using Verilog ALTERA FPGA Design Using Verilog Course Description This course provides all necessary theoretical and practical know-how to design ALTERA FPGA/CPLD using Verilog standard language. The course intention

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Building Gigabit Interfaces in Altera Transceiver Devices

Building Gigabit Interfaces in Altera Transceiver Devices Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Creating PCI Express Links in Intel FPGAs

Creating PCI Express Links in Intel FPGAs Creating PCI Express Links in Intel FPGAs Course Description This course provides all necessary theoretical and practical know how to create PCI Express links in Intel FPGAs. The course goes into great

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

Block-Based Design User Guide

Block-Based Design User Guide Block-Based Design User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Block-Based

More information

Analyzing Timing of Memory IP

Analyzing Timing of Memory IP 11 emi_dg_010 Subscribe The external memory physical layer (PHY) interface offers a combination of source-synchronous and self-calibrating circuits to maximize system timing margins. The physical layer

More information

Intel Quartus Prime Pro Edition Software and Device Support Release Notes

Intel Quartus Prime Pro Edition Software and Device Support Release Notes Intel Quartus Prime Pro Edition Software and Device Support Release Notes RN-01082-17.0.0 2017.05.08 Subscribe Send Feedback Contents Contents 1 Version 17.0... 3 1.1 New Features and Enhancements...3

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Block-Based Design Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Block-Based Design Flows...

More information

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Designing with Nios II Processor for Hardware Engineers

Designing with Nios II Processor for Hardware Engineers Designing with Nios II Processor for Hardware Engineers Course Description This course provides all theoretical and practical know-how to design ALTERA SoC FPGAs based on the Nios II soft processor under

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Quartus II Incremental Compilation for Hierarchical

Quartus II Incremental Compilation for Hierarchical Quartus II Incremental Compilation for Hierarchical and Team-Based Design 3 QII51015 Subscribe About Quartus II Incremental Compilation This manual provides information and design scenarios to help you

More information

Section IV. In-System Design Debugging

Section IV. In-System Design Debugging Section IV. In-System Design Debugging Introduction Debugging today s FPGA designs can be a daunting task. As your product requirements continue to increase in complexity, the time you spend on design

More information

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE

MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE MICROTRONIX AVALON MOBILE DDR MEMORY CONTROLLER IP CORE USER MANUAL V1.6 126-4056 Meadowbrook Drive. London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic

More information

June 2003, ver. 1.2 Application Note 198

June 2003, ver. 1.2 Application Note 198 Timing Closure with the Quartus II Software June 2003, ver. 1.2 Application Note 198 Introduction With FPGA designs surpassing the multimillion-gate mark, designers need advanced tools to better address

More information

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

AN 567: Quartus II Design Separation Flow

AN 567: Quartus II Design Separation Flow AN 567: Quartus II Design Separation Flow June 2009 AN-567-1.0 Introduction This application note assumes familiarity with the Quartus II incremental compilation flow and floorplanning with the LogicLock

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-MF9504-9.1 Document last updated for Altera Complete Design Suite version:

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

13. LogicLock Design Methodology

13. LogicLock Design Methodology 13. LogicLock Design Methodology QII52009-7.0.0 Introduction f Available exclusively in the Altera Quartus II software, the LogicLock feature enables you to design, optimize, and lock down your design

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide 2017.05.08 UG-01089 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

Creating a System With Qsys

Creating a System With Qsys 6 QII51020 Subscribe Qsys is a system integration tool included as part of the Quartus II software. Qsys captures system-level hardware designs at a high level of abstraction and automates the task of

More information

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Device

More information

2. Design Planning with the Quartus II Software

2. Design Planning with the Quartus II Software November 2013 QII51016-13.1.0 2. Design Planning with the Quartus II Sotware QII51016-13.1.0 This chapter discusses key FPGA design planning considerations, provides recommendations, and describes various

More information

Partial Reconfiguration User Guide

Partial Reconfiguration User Guide Partial Reconfiguration User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Creating

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Partial Reconfiguration Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Creating a Partial

More information

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction May 2008, v.1.2 Introduction Application Note 462 Many systems and applications use external memory interfaces as data storage or

More information

Intel Stratix 10 External Memory Interfaces IP User Guide

Intel Stratix 10 External Memory Interfaces IP User Guide Intel Stratix 10 External Memory Interfaces IP User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

Debugging Transceiver Links

Debugging Transceiver Links Debugging s 11 QII53029 Subscribe This chapter describes using the Transceiver Toolkit to optimize high-speed serial links in your board design. The Transceiver Toolkit provides real-time control, monitoring,

More information

LVDS SERDES Transmitter / Receiver IP Cores User Guide

LVDS SERDES Transmitter / Receiver IP Cores User Guide LVDS SERDES Transmitter / Receiver IP Cores User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. LVDS SERDES Transmitter/Receiver

More information

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Applying the Benefits of Network on a Chip Architecture to FPGA System Design white paper Intel FPGA Applying the Benefits of on a Chip Architecture to FPGA System Design Authors Kent Orthner Senior Manager, Software and IP Intel Corporation Table of Contents Abstract...1 Introduction...1

More information

Design of Embedded Hardware and Firmware

Design of Embedded Hardware and Firmware Design of Embedded Hardware and Firmware Introduction on "System On Programmable Chip" NIOS II Avalon Bus - DMA Andres Upegui Laboratoire de Systèmes Numériques hepia/hes-so Geneva, Switzerland Embedded

More information

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback Compiler User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Design Compilation...

More information

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE

MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE MICROTRONIX AVALON MULTI-PORT FRONT END IP CORE USER MANUAL V1.0 Microtronix Datacom Ltd 126-4056 Meadowbrook Drive London, ON, Canada N5L 1E3 www.microtronix.com Document Revision History This user guide

More information

9. Building Memory Subsystems Using SOPC Builder

9. Building Memory Subsystems Using SOPC Builder 9. Building Memory Subsystems Using SOPC Builder QII54006-6.0.0 Introduction Most systems generated with SOPC Builder require memory. For example, embedded processor systems require memory for software

More information

Laboratory Exercise 5

Laboratory Exercise 5 Laboratory Exercise 5 Bus Communication The purpose of this exercise is to learn how to communicate using a bus. In the designs generated by using Altera s SOPC Builder, the Nios II processor connects

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

External Memory Interfaces Intel Stratix 10 FPGA IP User Guide

External Memory Interfaces Intel Stratix 10 FPGA IP User Guide External Memory Interfaces Intel Stratix 10 FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. External

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

Intel Cyclone 10 External Memory Interfaces IP User Guide

Intel Cyclone 10 External Memory Interfaces IP User Guide Intel Cyclone 10 External Memory Interfaces IP User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Cyclone

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Design Compilation Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Design Compilation...

More information

9. Functional Description Example Designs

9. Functional Description Example Designs November 2012 EMI_RM_007-1.3 9. Functional Description Example Designs EMI_RM_007-1.3 This chapter describes the example designs and the traffic generator. Two independent example designs are created during

More information

5. Clock Networks and PLLs in Stratix IV Devices

5. Clock Networks and PLLs in Stratix IV Devices September 2012 SIV51005-3.4 5. Clock Networks and PLLs in Stratix IV Devices SIV51005-3.4 This chapter describes the hierarchical clock networks and phase-locked loops (PLLs) which have advanced features

More information

Designing with ALTERA SoC

Designing with ALTERA SoC Designing with ALTERA SoC תיאורהקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילתכנוןרכיביSoC שלחברתALTERA תחתסביבת הפיתוחII.Quartus הקורסמשלב 60% תיאוריהו- 40% עבודה מעשית עללוחותפיתוח.SoC הקורסמתחילבסקירתמשפחותרכבי

More information

Intel Stratix 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 High-Speed LVDS I/O User Guide Intel Stratix 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 High-Speed LVDS I/O

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

External Memory Interface Handbook

External Memory Interface Handbook External Memory Interface Handbook Volume 3: Reference Material Last updated for Altera Complete Design Suite: 14.1 Subscribe EMI_RM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 External

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide

External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. External Memory

More information

קורס VHDL for High Performance. VHDL

קורס VHDL for High Performance. VHDL קורס VHDL for High Performance תיאור הקורס קורסזהמספקאתכלהידע התיאורטיוהמעשילכתיבתקודHDL. VHDL לסינתזה בעזרת שפת הסטנדרט הקורסמעמיקמאודומלמדאת הדרךהיעילהלכתיבתקודVHDL בכדילקבלאתמימושתכןהלוגי המדויק. הקורסמשלב

More information

Cornell Cup Tutorials

Cornell Cup Tutorials Cornell Cup Tutorials Online Tutorials Atom processor FPGA material Yocto tools 2 Atom processor For N2600 (CedarView) information: Based on 32nm process technology, the processor series feature new levels

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler November 2005, Compiler Version 3.2.0 Errata Sheet Introduction This document addresses known errata and documentation changes for version 3.2.0 of the DDR & DDR2 SDRAM

More information

Intel Quartus Prime Pro Edition User Guide

Intel Quartus Prime Pro Edition User Guide Intel Quartus Prime Pro Edition User Guide Partial Reconfiguration Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Creating a Partial

More information

Embedded Systems. "System On Programmable Chip" NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs.

Embedded Systems. System On Programmable Chip NIOS II Avalon Bus. René Beuchat. Laboratoire d'architecture des Processeurs. Embedded Systems "System On Programmable Chip" NIOS II Avalon Bus René Beuchat Laboratoire d'architecture des Processeurs rene.beuchat@epfl.ch 3 Embedded system on Altera FPGA Goal : To understand the

More information

Functional Safety Clock Checker Diagnostic IP Core

Functional Safety Clock Checker Diagnostic IP Core Functional Safety Clock Checker Diagnostic IP Core AN-618-4.4 Application Note The Altera Clock Checker Diagnostic IP core is for applications that comply with IEC 61508:2010 and ISO 26262:2011-2012. You

More information

External Memory Interfaces Intel Arria 10 FPGA IP User Guide

External Memory Interfaces Intel Arria 10 FPGA IP User Guide External Memory Interfaces Intel Arria 10 FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. External

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 7.2 Document Version: 3.3 Document Date: November 2007 Copyright 2007

More information

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER

MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER MICROTRONIX AVALON MULTI-PORT SDRAM CONTROLLER USER MANUAL V3.11 126-4056 Meadowbrook Drive London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user guide provides basic information

More information

16. Design Debugging Using In-System Sources and Probes

16. Design Debugging Using In-System Sources and Probes June 2012 QII53021-12.0.0 16. Design Debugging Using In-System Sources and Probes QII53021-12.0.0 This chapter provides detailed instructions about how to use the In-System Sources and Probes Editor and

More information

Arria 10 External Memory Interface Design Guidelines

Arria 10 External Memory Interface Design Guidelines Arria 10 External Memory Interface Design Guidelines Quartus II Software v13.1 Arria 10 Edition Arria 10 design guidelines are preliminary and subject to change 1 Contents Introduction Software requirements

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

Cyclone V SoCs. Automotive Safety Manual. 101 Innovation Drive San Jose, CA MNL Subscribe Send Feedback

Cyclone V SoCs. Automotive Safety Manual. 101 Innovation Drive San Jose, CA MNL Subscribe Send Feedback Cyclone V SoCs Automotive Safety Manual Subscribe MNL-1079 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Cyclone V SoCs Automotive Safety Manual Contents Introduction to Cyclone V SoCs and

More information

5. Quartus II Design Separation Flow

5. Quartus II Design Separation Flow 5. Quartus II Design Separation Flow June 2012 QII51019-12.0.0 QII51019-12.0.0 This chapter contains rules and guidelines for creating a floorplan with the design separation flow, and assumes familiarity

More information

AN 812: Qsys Pro System Design Tutorial

AN 812: Qsys Pro System Design Tutorial AN 812: Qsys Pro System Design Tutorial AN-812 2017.08.15 Subscribe Send Feedback Contents Contents Qsys Pro System Design Tutorial... 3 Hardware and Software Requirements... 4 Download and Install the

More information

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide 25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. 25G

More information

Automotive Safety Manual

Automotive Safety Manual Automotive Safety Manual for Cyclone V FPGAs and Cyclone V SoCs Subscribe MNL-1082 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Automotive Safety Manual for Cyclone V FPGAs and Cyclone

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

Using the LogicLock Methodology in the

Using the LogicLock Methodology in the Using the LogicLock Methodology in the Quartus II Design Software June 2003, ver. 3.3 Application Note 161 Introduction TM Available exclusively in the Altera Quartus II software, the LogicLock TM block-based

More information

Design Constraints User Guide

Design Constraints User Guide Design Constraints User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Constraining

More information

1. SDRAM Controller Core

1. SDRAM Controller Core 1. SDRAM Controller Core NII51005-7.2.0 Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows

More information

Embedded Design Handbook

Embedded Design Handbook Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Introduction... 6 1.1 Document Revision History... 6 2 First Time Designer's Guide... 7 2.1 FPGAs and Soft-Core Processors...

More information

Park Sung Chul. AE MentorGraphics Korea

Park Sung Chul. AE MentorGraphics Korea PGA Design rom Concept to Silicon Park Sung Chul AE MentorGraphics Korea The Challenge of Complex Chip Design ASIC Complex Chip Design ASIC or FPGA? N FPGA Design FPGA Embedded Core? Y FPSoC Design Considerations

More information

2. Recommended Design Flow

2. Recommended Design Flow 2. Recommended Design Flow This chapter describes the Altera-recommended design low or successully implementing external memory interaces in Altera devices. Altera recommends that you create an example

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

Creating a System With Qsys

Creating a System With Qsys 5 QII51020 Subscribe Qsys is a system integration tool included as part of the Quartus II software. Qsys captures system-level hardware designs at a high level of abstraction and simplifies the task of

More information

Clock Control Block (ALTCLKCTRL) Megafunction User Guide

Clock Control Block (ALTCLKCTRL) Megafunction User Guide Clock Control Block (ALTCLKCTRL) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 2.4 Document Date: December 2008 Copyright 2008 Altera Corporation. All

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 I/O

More information

SerialLite II IP Core User Guide

SerialLite II IP Core User Guide SerialLite II IP Core User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.1 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents SerialLite II IP Core Overview...1-1 General

More information