Macro in a Generic Logic Process with No Boosted Supplies

Size: px
Start display at page:

Download "Macro in a Generic Logic Process with No Boosted Supplies"

Transcription

1 A 700MHz 2T1C Embedded DRAM Macro in a Generic Logic Process with No Boosted Supplies Ki Chul Chun, Wei Zhang, Pulkit Jain, and Chris H. Kim University of Minnesota, Minneapolis, MN

2 Outline Motivation Proposed Fully Logic-compatible EDRAM 2T1C Gain Cell with No Boosted Supplies Enhancement of EDRAM Retention Time Single-ended 7T SRAM Repair Scheme Storage Monitor for PVT-aware Refresh Control 65nm EDRAM Chip Measurements Summary 2

3 Embedded Memory Options 65nm CMOS Cell Schematic Process Boosted supplies Cell size (ratio) Data storage Cell access Random cycle BL WL 6T SRAM [1] WL BLB Logic-compatible No required 1T1C edram [2] Gain cell edram [3] WL BL VP WWL RBL WBL Logic compatible Logic compatible +2 (FEOL)+3 (Cap) (+2 FEOL) Required (High & Low) Required (High & Low) 135F 2 (1X) 30F 2 (0.22X) 65F 2 (0.48X) [4] Latch (Static) Capacitor (20fF) MOS gate (<1fF) (+) Differential read (-) Destructive read (+) Decoupled read and (-) Ratioed operation (-) Refresh write, (-) Refresh 1GHz 500MHz 667MHz Static power 1X 0.2X RWL [1] K. Zhang et al., VLSI Symp. 2004, [2] J. Barth et al., ISSCC 2007, [3] K. Chun et al., VLSI Symp. 2010, [4] D. Somasekhar et al., ISSCC 2008 Gain cell considered as a strong contender for future embedded memories 3

4 Boosted Supplies in EDRAM Asymmetric 2T gain cell, K. Chun et al., VLSI Symp DRAMs require boosted high and low voltages Special thick T OX devices needed for reliability Focus of this work: Eliminating boosted supplies for a fully logic-compatible (single T OX ) design 4

5 Gain Cell with No Boosted Supplies WWL RWLB RWL PCOU Asymmetric 2T gain cell + Coupling device (PC) 5

6 Retention with No Boosted Supplies Conventional 2T Proposed 2T1C 1.Low data 1 level 2.Data 0 write-back 3.Narrow window between 1 and 0 1. Beneficial couple-up during read (PC) 2. Preferential couple-down during write-back (PC) 3. Circuit techniques to enhance retention time 1) Repair scheme utilizing single-ended 7T SRAM 2) Storage monitor for PVT-aware refresh control 6

7 Read and Write-back Timing Conventional 2T Proposed 2T1C ltage (V) Vol Cell voltag ge (V) A 0.2V beneficial couple-up read and a 0.28V preferential couple-down write-back [1] Regulated Write Bit-line, K. Chun et al., VLSI Symp

8 Single-ended 7T SRAM Repair Cell QB (V) Voltage (V V) Decoupled read and local differential write Shares control signals (WL s and BL s) with the 2T1C array allowing seamless integration 8

9 Tail Cell Repair Scheme 1.1V, 85ºC, t CYCLE =1.4ns >10 65nm LP, 1.1V, 85ºC >10 Retention time (msec) Word-line # (msec) 1-BL repair case with a target retention of 500µs 2T1C repair: 6.25% failure (left) 7T SRAM repair: No failure with 1.2% (4.8%) array overhead at 1-repair BL per 128 BL s (32 BL s) 9

10 Storage Voltage Monitor SCAN f RET V BIAS1 t CLK *PG CNT-1 VCO-1 4 WBL WWL PCOU RWL Mer rged Stor rage Voltage Φ Thick Tox device Φ 2 Φ Sampled voltage VCO-2 CNT-2 *PG: Cell Access Pattern Generator (Self-Repetitive) 2T1C Gain Cell Array (256cells) V CAL f VCELL Storage Voltage Sampling and Calibration Storage voltage monitor with varying retention time and under PVT variations Gain cell specific retention sensor including leakages and coupling effects for PVT-aware refresh control 10

11 EDRAM Test Chip Microphotograph C. PU UMP & DECA AP Y L L CONV 3T KBIT ARRAY 6 CELLS/BL 8 CELLS/WL WL DRIVER COL. DEC C. 64K COL. DEC. 7T SRAM 2T1C 64KBIT ARRAY 256 CELLS/BL 128 CELLS/WL WL DRIVER TEST INTERFACE STORAGE MONITOR STORAGE MON. CTRL Process 65nm LP CMOS Ckt dimension 556x345µm 2 Array size 2x64kbits (Conv. 3T & Prop. 2T1C) Cell size 58% of 6T SRAM Retention time 1.1V, 85ºC Random cycle time 1.40ns 1.1V VMIN 10µs retention *Refresh power 161.8µW per Mb (**0.28X of 6T SRAM) *@ 1.1V, 85ºC, 500µsec refresh rate **@ Retention voltage of 0.6V 714MHz random cycle at a 500μsec retention time with 1-BL repair per 32 BL s 72% lower data retention power compared with a power-gated 6T SRAM 11

12 Measured Retention Statistics 65nm LP CMOS, 1.1V, 85ºC Decreasing VBB CYCLE =2.0ns Propopsed 2T1C Asymmetric 2T (VPP=1.6V) Conventional 3T (VBB=-0.5V) Conventional 3T (VBB=-0.4V) Conventional 3T (VBB=-0.3V) Conventional 3T (VBB=-0.2V) 0.10 CYCLE =1.5ns Proposed 2T1C: 256cells/BL Conventional 3T: 256cells/BL No boosted CYCLE =1.4ns Asymmetric 2T: 512cells/BL (K. Chun et al., VLSI Symp. 2010) E-04 1.E-03 1.E-02 The proposed 2T1C gain cell achieves practical retention ti time (>100µs) with no boosted supplies 12

13 Measured VDD Shmoo Ra andom cy ycle time (nsec) nm LP CMOS, 85ºC Random cycle Retention time 1.E-01 1.E-02 1.E-03 1.E E-05 VMIN of 2T1C gain cell 0 1.E VDD (V) Rete ention tim me (sec) Static cu urrent (µa A/Mb) % 65nm LP CMOS, 85ºC w/ device mismatches 99.9% bit yield 6T SRAM leakage 2T1C refresh Retention voltage (V) Wide operating voltage range of 0.7V ~ 1.4V (left) 72% smaller refresh current than SRAM leakage at a power-down retention ti voltage of 0.6V (right) 13

14 Summary Gain cell edram contender for future e-memory Logic-compatible, decoupled read and write paths 2X bit cell density, 0.3X static current than SRAM A fully logic-compatible edram proposed 2T1C gain cell with no boosted supplies Single-ended 7T SRAM repair scheme Storage monitor for PVT-aware refresh control A 1.1V, 65nm 64kb edram chip demonstrated DRAM implementation based on only thin T OX devices 1.4ns cycle time at a retention time of 500µs at 85 C 161.8μW per Mbit static power dissipation at 85 C 14

15 Acknowledgements 1. Broadcom foundation 2. IBM faculty partnership program (Dr. Pong-Fei Lu) 3. Intel corporation 4. Samsung scholarship

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode.

A Write-Back-Free 2T1D Embedded. a Dual-Row-Access Low Power Mode. A Write-Back-Free 2T1D Embedded DRAM with Local Voltage Sensing and a Dual-Row-Access Low Power Mode Wei Zhang, Ki Chul Chun, Chris H. Kim University of Minnesota, Minneapolis, MN zhang758@umn.edu Outline

More information

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias

DRAM with Boosted 3T Gain Cell, PVT-tracking Read Reference Bias ASub-0 Sub-0.9V Logic-compatible Embedded DRAM with Boosted 3T Gain Cell, Regulated Bit-line Write Scheme and PVT-tracking Read Reference Bias Ki Chul Chun, Pulkit Jain, Jung Hwa Lee*, Chris H. Kim University

More information

ON-DIE cache memory is a key component in advanced

ON-DIE cache memory is a key component in advanced IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 10, OCTOBER 2012 2517 A 2T1C Embedded DRAM Macro With No Boosted Supplies Featuring a 7T SRAM Based Repair and a Cell Storage Monitor Ki Chul Chun, Wei

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 2, FEBRUARY

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 2, FEBRUARY IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 47, NO. 2, FEBRUARY 2012 547 A 667 MHz Logic-Compatible Embedded DRAM Featuring an Asymmetric 2T Gain Cell for High Speed On-Die Caches Ki Chul Chun, Pulkit Jain,

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter

A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter A 32nm, 0.9V Supply-Noise Sensitivity Tracking PLL for Improved Clock Data Compensation Featuring a Deep Trench Capacitor Based Loop Filter Bongjin Kim, Weichao Xu, and Chris H. Kim University of Minnesota,

More information

Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014

Novel Nonvolatile Memory Hierarchies to Realize Normally-Off Mobile Processors ASP-DAC 2014 Novel Nonvolatile Memory Hierarchies to Realize "Normally-Off Mobile Processors" ASP-DAC 2014 Shinobu Fujita, Kumiko Nomura, Hiroki Noguchi, Susumu Takeda, Keiko Abe Toshiba Corporation, R&D Center Advanced

More information

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives

Lecture 14. Advanced Technologies on SRAM. Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Source: Intel the area ratio of SRAM over logic increases Lecture 14 Advanced Technologies on SRAM Fundamentals of SRAM State-of-the-Art SRAM Performance FinFET-based SRAM Issues SRAM Alternatives Reading:

More information

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells

Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells 1 Millimeter-Scale Nearly Perpetual Sensor System with Stacked Battery and Solar Cells Gregory Chen, Matthew Fojtik, Daeyeon Kim, David Fick, Junsun Park, Mingoo Seok, Mao-Ter Chen, Zhiyoong Foo, Dennis

More information

Unleashing the Power of Embedded DRAM

Unleashing the Power of Embedded DRAM Copyright 2005 Design And Reuse S.A. All rights reserved. Unleashing the Power of Embedded DRAM by Peter Gillingham, MOSAID Technologies Incorporated Ottawa, Canada Abstract Embedded DRAM technology offers

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

A Single Ended SRAM cell with reduced Average Power and Delay

A Single Ended SRAM cell with reduced Average Power and Delay A Single Ended SRAM cell with reduced Average Power and Delay Kritika Dalal 1, Rajni 2 1M.tech scholar, Electronics and Communication Department, Deen Bandhu Chhotu Ram University of Science and Technology,

More information

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology

Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology Comparative Analysis of Low Leakage SRAM Cell at 32nm Technology Jaspreet Kaur Electronics and Communication Engg Section Yadavindra College of Engineering, Talwandi Sabo, India Candy Goyal Assistant Professor,

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

A 65nm 8T Sub-V t SRAM Employing Sense-Amplifier Redundancy

A 65nm 8T Sub-V t SRAM Employing Sense-Amplifier Redundancy A 65nm Sub-V t SRAM Employing Sense-Amplifier Redundancy Naveen Verma and Anantha Chandrakasan Massachusetts Institute of Technology ISSCC 2007 Energy Minimization Minimum energy V DD for logic results

More information

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013

ABSTRACT. Mu-Tien Chang Doctor of Philosophy, 2013 ABSTRACT Title of dissertation: TECHNOLOGY IMPLICATIONS FOR LARGE LAST-LEVEL CACHES Mu-Tien Chang Doctor of Philosophy, 3 Dissertation directed by: Professor Bruce Jacob Department of Electrical and Computer

More information

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study

Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Spin-Hall Effect MRAM Based Cache Memory: A Feasibility Study Jongyeon Kim, Bill Tuohy, Cong Ma, Won Ho Choi, Ibrahim Ahmed, David Lilja, and Chris H. Kim University of Minnesota Dept. of ECE 1 Overview

More information

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS

1073 P a g e 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS Read stability and Write ability analysis of different SRAM cell structures Ajay Gadhe*, Ujwal Shirode** *(Department of Electronics, North Maharashtra University, Jalgaon-425001) ** (Department of Electronics,

More information

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani

Embedded Memories. Advanced Digital IC Design. What is this about? Presentation Overview. Why is this important? Jingou Lai Sina Borhani 1 Advanced Digital IC Design What is this about? Embedded Memories Jingou Lai Sina Borhani Master students of SoC To introduce the motivation, background and the architecture of the embedded memories.

More information

Simulation and Analysis of SRAM Cell Structures at 90nm Technology

Simulation and Analysis of SRAM Cell Structures at 90nm Technology Vol.1, Issue.2, pp-327-331 ISSN: 2249-6645 Simulation and Analysis of SRAM Cell Structures at 90nm Technology Sapna Singh 1, Neha Arora 2, Prof. B.P. Singh 3 (Faculty of Engineering and Technology, Mody

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY

POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, APRL 2017, VOLUME: 03, ISSUE: 01 DOI: 10.21917/ijme.2017.0059 POWER AND AREA EFFICIENT 10T SRAM WITH IMPROVED READ STABILITY T.S. Geethumol,

More information

LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS

LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS LEAKAGE POWER REDUCTION OF ON CHIP SRAM CELLS 1 D.Sridhar, 2 K.Somesh Kumar, 3 G.V. Ramanaiah 1 Assistant Professor of Department of Electronics and Communication Engineering, SVIET, JNTUK, Kakinada, Andhra

More information

RECENT publications have shown a renewed interest in

RECENT publications have shown a renewed interest in IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 4, APRIL 2014 259 Replica Technique for Adaptive Refresh Timing of Gain-Cell-Embedded DRAM Adam Teman, Student Member, IEEE, Pascal

More information

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment.

A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. A Configurable Radiation Tolerant Dual-Ported Static RAM macro, designed in a 0.25 µm CMOS technology for applications in the LHC environment. 8th Workshop on Electronics for LHC Experiments 9-13 Sept.

More information

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next?

edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? edram to the Rescue Why edram 1/3 Area 1/5 Power SER 2-3 Fit/Mbit vs 2k-5k for SRAM Smaller is faster What s Next? 1 Integrating DRAM and Logic Integrate with Logic without impacting logic Performance,

More information

A Novel Architecture of SRAM Cell Using Single Bit-Line

A Novel Architecture of SRAM Cell Using Single Bit-Line A Novel Architecture of SRAM Cell Using Single Bit-Line G.Kalaiarasi, V.Indhumaraghathavalli, A.Manoranjitham, P.Narmatha Asst. Prof, Department of ECE, Jay Shriram Group of Institutions, Tirupur-2, Tamilnadu,

More information

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements

EE241 - Spring 2007 Advanced Digital Integrated Circuits. Announcements EE241 - Spring 2007 Advanced Digital Integrated Circuits Lecture 22: SRAM Announcements Homework #4 due today Final exam on May 8 in class Project presentations on May 3, 1-5pm 2 1 Class Material Last

More information

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5 1 Reminders Deadlines HW4 is due Tuesday 11/17 at 11:59 pm (email submission) CAD8 is due Saturday 11/21 at 11:59 pm Quiz 2 is on Wednesday

More information

MTJ-Based Nonvolatile Logic-in-Memory Architecture

MTJ-Based Nonvolatile Logic-in-Memory Architecture 2011 Spintronics Workshop on LSI @ Kyoto, Japan, June 13, 2011 MTJ-Based Nonvolatile Logic-in-Memory Architecture Takahiro Hanyu Center for Spintronics Integrated Systems, Tohoku University, JAPAN Laboratory

More information

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Z-RAM Ultra-Dense Memory for 90nm and Below Hot Chips 2006 David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc. Outline Device Overview Operation Architecture Features Challenges Z-RAM Performance

More information

MEMORIES. Memories. EEC 116, B. Baas 3

MEMORIES. Memories. EEC 116, B. Baas 3 MEMORIES Memories VLSI memories can be classified as belonging to one of two major categories: Individual registers, single bit, or foreground memories Clocked: Transparent latches and Flip-flops Unclocked:

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Implementation of DRAM Cell Using Transmission Gate

Implementation of DRAM Cell Using Transmission Gate Implementation of DRAM Cell Using Transmission Gate Pranita J. Giri 1, Sunanda K. Kapde 2 PG Student, Department of E&TC, Deogiri Institute of Engineering & Management Studies, Aurangabad (MS), India 1

More information

Analysis of 8T SRAM Cell Using Leakage Reduction Technique

Analysis of 8T SRAM Cell Using Leakage Reduction Technique Analysis of 8T SRAM Cell Using Leakage Reduction Technique Sandhya Patel and Somit Pandey Abstract The purpose of this manuscript is to decrease the leakage current and a memory leakage power SRAM cell

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

Memory in Digital Systems

Memory in Digital Systems MEMORIES Memory in Digital Systems Three primary components of digital systems Datapath (does the work) Control (manager) Memory (storage) Single bit ( foround ) Clockless latches e.g., SR latch Clocked

More information

Survey on Stability of Low Power SRAM Bit Cells

Survey on Stability of Low Power SRAM Bit Cells International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 3 (2017) pp. 441-447 Research India Publications http://www.ripublication.com Survey on Stability of Low Power

More information

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7 EE241 - Spring 2011 Advanced Digital Integrated Circuits Lecture 9: SRAM Announcements Homework 1 due on Wednesday Quiz #1 next Monday, March 7 2 1 Outline Last lecture Variability This lecture SRAM 3

More information

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004

Improved Initial Overdrive Sense-Amplifier. For Low-Voltage DRAMS. Analog CMOS IC Design. Esayas Naizghi April 30, 2004 Analog CMOS IC Design Improved Initial Overdrive Sense-Amplifier For Low-Voltage DRAMS Esayas Naizghi April 30, 2004 Overview 1. Introduction 2. Goals and Objectives 3. Gate Sizing Theory 4. DRAM Introduction

More information

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context.

A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. A novel DRAM architecture as a low leakage alternative for SRAM caches in a 3D interconnect context. Anselme Vignon, Stefan Cosemans, Wim Dehaene K.U. Leuven ESAT - MICAS Laboratory Kasteelpark Arenberg

More information

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology

Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Highly Reliable Radiation Hardened Memory Cell for FINFET Technology Shantha Devi.P 1, Vennila.P 2, Ramya.M 3, Krishnakumar.S 4 1PG Scholar,Department of ECE,Theni Kammavar Sangam College of Technology,Tamilnadu,India.

More information

Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages

Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages Dynamic Voltage and Frequency Scaling Circuits with Two Supply Voltages ECE Department, University of California, Davis Wayne H. Cheng and Bevan M. Baas Outline Background and Motivation Implementation

More information

A 5.2 GHz Microprocessor Chip for the IBM zenterprise

A 5.2 GHz Microprocessor Chip for the IBM zenterprise A 5.2 GHz Microprocessor Chip for the IBM zenterprise TM System J. Warnock 1, Y. Chan 2, W. Huott 2, S. Carey 2, M. Fee 2, H. Wen 3, M.J. Saccamango 2, F. Malgioglio 2, P. Meaney 2, D. Plass 2, Y.-H. Chan

More information

250nm Technology Based Low Power SRAM Memory

250nm Technology Based Low Power SRAM Memory IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 01-10 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org 250nm Technology Based Low Power

More information

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero

High Density, High Reliability Carbon Nanotube NRAM. Thomas Rueckes CTO Nantero High Density, High Reliability Carbon Nanotube NRAM Thomas Rueckes CTO Nantero Nantero Overview Founded in 2001 to develop nonvolatile memory using carbon nanotubes (CNT) for high density standalone and

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects

A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects A Low Power 1Mbit MRAM based based on 1T1MTJ Bit Cell Integrated with Copper Interconnects M. Durlam, P. Naji, A. Omair, M. DeHerrera, J. Calder, J. M. Slaughter, B. Engel, N. Rizzo, G. Grynkewich, B.

More information

Design and Implementation of High Performance Application Specific Memory

Design and Implementation of High Performance Application Specific Memory Design and Implementation of High Performance Application Specific Memory - 고성능 Application Specific Memory 의설계와구현 - M.S. Thesis Sungdae Choi Dec. 20th, 2002 Outline Introduction Memory for Mobile 3D Graphics

More information

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology

Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Vol. 3, Issue. 3, May.-June. 2013 pp-1475-1481 ISSN: 2249-6645 Design and Simulation of Low Power 6TSRAM and Control its Leakage Current Using Sleepy Keeper Approach in different Topology Bikash Khandal,

More information

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell

The DRAM Cell. EEC 581 Computer Architecture. Memory Hierarchy Design (III) 1T1C DRAM cell EEC 581 Computer Architecture Memory Hierarchy Design (III) Department of Electrical Engineering and Computer Science Cleveland State University The DRAM Cell Word Line (Control) Bit Line (Information)

More information

CENG 4480 L09 Memory 2

CENG 4480 L09 Memory 2 CENG 4480 L09 Memory 2 Bei Yu Reference: Chapter 11 Memories CMOS VLSI Design A Circuits and Systems Perspective by H.E.Weste and D.M.Harris 1 v.s. CENG3420 CENG3420: architecture perspective memory coherent

More information

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY

COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY COMPARITIVE ANALYSIS OF SRAM CELL TOPOLOGIES AT 65nm TECHNOLOGY Manish Verma 1, Shubham Yadav 2, Manish Kurre 3 1,2,3,Assistant professor, Department of Electrical Engineering, Kalinga University, Naya

More information

Technology Platform Segmentation

Technology Platform Segmentation HOW TECHNOLOGY R&D LEADERSHIP BRINGS A COMPETITIVE ADVANTAGE FOR MULTIMEDIA CONVERGENCE Technology Platform Segmentation HP LP 2 1 Technology Platform KPIs Performance Design simplicity Power leakage Cost

More information

DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM

DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM ISSN: 2395-1680 (ONLINE) ICTACT JOURNAL ON MICROELECTRONICS, JANUARY 2017, VOLUME: 02, ISSUE: 04 DESIGN AND READ STABILITYANALYSIS OF 8T SCHMITT TRIGGER BASED SRAM Priyanka Lee Achankunju, Sreekala K.S

More information

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Semiconductor Memory Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Spiral 2-9. Tri-State Gates Memories DMA

Spiral 2-9. Tri-State Gates Memories DMA 2-9.1 Spiral 2-9 Tri-State Gates Memories DMA 2-9.2 Learning Outcomes I understand how a tri-state works and the rules for using them to share a bus I understand how SRAM and DRAM cells perform reads and

More information

FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN. SITRI FDSOI workshop l 08/09/2016

FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN. SITRI FDSOI workshop l 08/09/2016 FDSOI TECHNOLOGY: GENERAL OVERVIEW & LOW-POWER DESIGN SITRI FDSOI workshop l 08/09/2016 OUTLINE FDSOI technology overview From bulk to UTBB-FDSOI FDSOI technology flavors Comparison between the different

More information

Low Power SRAM Design with Reduced Read/Write Time

Low Power SRAM Design with Reduced Read/Write Time International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 3 (2013), pp. 195-200 International Research Publications House http://www. irphouse.com /ijict.htm Low

More information

Design of 6-T SRAM Cell for enhanced read/write margin

Design of 6-T SRAM Cell for enhanced read/write margin International Journal of Advances in Electrical and Electronics Engineering 317 Available online at www.ijaeee.com & www.sestindia.org ISSN: 2319-1112 Design of 6-T SRAM Cell for enhanced read/write margin

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

Review on Power Dissipation Analysis of Conventional SRAM Cell Architecture

Review on Power Dissipation Analysis of Conventional SRAM Cell Architecture Review on Dissipation Analysis of Conventional Cell Architecture Munaf 1, M.E., L. Malathi 2, M.E., Dr.A.Bharahi 3, Dr. A.N.Jayanthi 4 Abstract Memories are the crucial part of any digital system and no

More information

+1 (479)

+1 (479) Memory Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Memory Arrays Memory Arrays Random Access Memory Serial

More information

Optimizing Standby

Optimizing Standby Optimizing Power @ Standby Memory Benton H. Calhoun Jan M. Rabaey Chapter Outline Memory in Standby Voltage Scaling Body Biasing Periphery Memory Dominates Processor Area SRAM is a major source of static

More information

Article begins on next page

Article begins on next page Title: A 19.4 nj/ 364K s/s in-memory random forest classifier in 6T SRAM array Archived version Accepted manuscript: the content is identical to the published paper, but without the final typesetting by

More information

Advanced Topics in VLSI

Advanced Topics in VLSI Advanced Topics in VLSI EE6361 Jan 2017 DRAM Course Objectives Introduce students to some relevant advanced topics of current interest in academia and industry Make students aware of some advanced techniques

More information

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY

DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY DESIGN AND IMPLEMENTATION OF 8X8 DRAM MEMORY ARRAY USING 45nm TECHNOLOGY S.Raju 1, K.Jeevan Reddy 2 (Associate Professor) Digital Systems & Computer Electronics (DSCE), Sreenidhi Institute of Science &

More information

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers

! Serial Access Memories. ! Multiported SRAM ! 5T SRAM ! DRAM. ! Shift registers store and delay data. ! Simple design: cascade of registers ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 28: November 16, 2016 RAM Core Pt 2 Outline! Serial Access Memories! Multiported SRAM! 5T SRAM! DRAM Penn ESE 370 Fall 2016

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Comparative Analysis of Contemporary Cache Power Reduction Techniques

Comparative Analysis of Contemporary Cache Power Reduction Techniques Comparative Analysis of Contemporary Cache Power Reduction Techniques Ph.D. Dissertation Proposal Samuel V. Rodriguez Motivation Power dissipation is important across the board, not just portable devices!!

More information

DIRECT Rambus DRAM has a high-speed interface of

DIRECT Rambus DRAM has a high-speed interface of 1600 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 11, NOVEMBER 1999 A 1.6-GByte/s DRAM with Flexible Mapping Redundancy Technique and Additional Refresh Scheme Satoru Takase and Natsuki Kushiyama

More information

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta *

IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY LOW POWER SRAM DESIGNS: A REVIEW Asifa Amin*, Dr Pallavi Gupta * School of Engineering and Technology Sharda University Greater

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES Sapna Singh 1, Neha Arora 2, Meenakshi Suthar 3 and Neha Gupta 4 Faculty of Engineering Technology, Mody Institute of

More information

A Low Power SRAM Base on Novel Word-Line Decoding

A Low Power SRAM Base on Novel Word-Line Decoding Vol:, No:3, 008 A Low Power SRAM Base on Novel Word-Line Decoding Arash Azizi Mazreah, Mohammad T. Manzuri Shalmani, Hamid Barati, Ali Barati, and Ali Sarchami International Science Index, Computer and

More information

Lecture 11: MOS Memory

Lecture 11: MOS Memory Lecture 11: MOS Memory MAH, AEN EE271 Lecture 11 1 Memory Reading W&E 8.3.1-8.3.2 - Memory Design Introduction Memories are one of the most useful VLSI building blocks. One reason for their utility is

More information

An Efficient Design of 8T SRAM Cell Using Transmission Gates

An Efficient Design of 8T SRAM Cell Using Transmission Gates An Efficient Design of 8T SRAM Cell Using Transmission Gates Sameya Firdous M.Tech (VLSI Design), Department of ECE, Siddhartha Institute of Engineering and Technology. ABSTRACT: Static Random Access Memory

More information

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering IP-SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY A LOW POWER DESIGN D. Harihara Santosh 1, Lagudu Ramesh Naidu 2 Assistant professor, Dept. of ECE, MVGR College of Engineering, Andhra Pradesh, India

More information

Near-Threshold Computing: Reclaiming Moore s Law

Near-Threshold Computing: Reclaiming Moore s Law 1 Near-Threshold Computing: Reclaiming Moore s Law Dr. Ronald G. Dreslinski Research Fellow Ann Arbor 1 1 Motivation 1000000 Transistors (100,000's) 100000 10000 Power (W) Performance (GOPS) Efficiency (GOPS/W)

More information

Memory Classification revisited. Slide 3

Memory Classification revisited. Slide 3 Slide 1 Topics q Introduction to memory q SRAM : Basic memory element q Operations and modes of failure q Cell optimization q SRAM peripherals q Memory architecture and folding Slide 2 Memory Classification

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN 5 Comparative Study and Mathematical Modeling of Power Dissipation in 6Transistor SRAM and 7-Transistor SRAM Seema Verma1, Pooja Srivastava2, Smriti Nanda3, Jayati Vyas4, Bharti Sharma5 1 Associate Professor,

More information

Design Method of Stacked Type MRAM. with NAND Structured Cell

Design Method of Stacked Type MRAM. with NAND Structured Cell Contemporary Engineering Sciences, Vol. 6, 2013, no. 2, 69-86 HIKARI Ltd, www.m-hikari.com Design Method of Stacked Type MRAM with NAND Structured Cell Shoto Tamai Oi Electric Co. LTd. Kohoku-ku, Yokohama,

More information

SYNTHESIS FOR ADVANCED NODES

SYNTHESIS FOR ADVANCED NODES SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012 Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge

More information

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman.

Digital Integrated Circuits (83-313) Lecture 7: SRAM. Semester B, Lecturer: Dr. Adam Teman Itamar Levi, Robert Giterman. Digital Integrated Circuits (83-313) Lecture 7: SRAM Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 16 May 2017 Disclaimer: This course was prepared, in its entirety, by

More information

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM

Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Design and Implementation of Low Leakage Power SRAM System Using Full Stack Asymmetric SRAM Rajlaxmi Belavadi 1, Pramod Kumar.T 1, Obaleppa. R. Dasar 2, Narmada. S 2, Rajani. H. P 3 PG Student, Department

More information

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology

Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Design and Analysis of 32 bit SRAM architecture in 90nm CMOS Technology Jesal P. Gajjar 1, Aesha S. Zala 2, Sandeep K. Aggarwal 3 1Research intern, GTU-CDAC, Pune, India 2 Research intern, GTU-CDAC, Pune,

More information

A 32 kb 10T sub-threshold sram array with bitinterleaving and differential read scheme in 90 nm CMOS

A 32 kb 10T sub-threshold sram array with bitinterleaving and differential read scheme in 90 nm CMOS Purdue University Purdue e-pubs Department of Electrical and Computer Engineering Faculty Publications Department of Electrical and Computer Engineering January 2009 A 32 kb 10T sub-threshold sram array

More information

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS

OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS OTP & MTP/FRP Non-Volatile Memory IP for Standard Logic CMOS NSCore, Inc. http://www.nscore.com/ Outlines 1. Corporate Overview 2. Program, Read & Erase Mechanism 3. OTP IP Lineups 4. New MTP Technologies

More information

Decoupled Logic Based Design for Implementation Low Power Memories by 8T SRAM

Decoupled Logic Based Design for Implementation Low Power Memories by 8T SRAM Vol.2, Issue.3, May-June 2012 pp-697-701 ISSN: 2249-6645 Decoupled Logic Based Design for Implementation Low Power Memories by 8T SRAM R. L. B. R. Prasad Reddy 1, G. Naresh 2 1 Department of Electronics

More information

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform H. Mizuno, N. Irie, K. Uchiyama, Y. Yanagisawa 1, S. Yoshioka 1, I. Kawasaki 1, and T. Hattori 2 Hitachi Ltd.,

More information

SRAM Memory Layout Design in 180nm Technology

SRAM Memory Layout Design in 180nm Technology SRAM Memory Layout Design in 180nm Technology Praveen K N M.Tech in VLSI Design & Embedded Systems JSS Academy of Technical Education, Bengaluru, India B. G. Shivaleelavathi Professor, H.O. D ECE Department,

More information

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache

A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache A Dual-Core Multi-Threaded Xeon Processor with 16MB L3 Cache Stefan Rusu Intel Corporation Santa Clara, CA Intel and the Intel logo are registered trademarks of Intel Corporation or its subsidiaries in

More information

DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY FOR LOW VOLTAGE APPLICATIONS

DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY FOR LOW VOLTAGE APPLICATIONS Journal of Engineering Science and Technology Vol. 11, No. 12 (2016) 1722-1735 School of Engineering, Taylor s University DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY

More information

ECSE-2610 Computer Components & Operations (COCO)

ECSE-2610 Computer Components & Operations (COCO) ECSE-2610 Computer Components & Operations (COCO) Part 18: Random Access Memory 1 Read-Only Memories 2 Why ROM? Program storage Boot ROM for personal computers Complete application storage for embedded

More information