Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Similar documents
How Design IP Can Accelerate and Simplify Development of Enterprise-Level Communications and Storage Systems

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Defining a New High-Speed, Multi-Protocol SerDes Architecture for Advanced Nodes

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

Using IBIS-AMI in the Modeling of Advanced SerDes Equalization for Serial Link Simulation

Ten Reasons to Optimize a Processor

The Fast Track to PCIe 5.0

Five Emerging DRAM Interfaces You Should Know for Your Next Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Emergence of Segment-Specific DDRn Memory Controller and PHY IP Solution. By Eric Esteve (PhD) Analyst. July IPnest.

White Paper. Visual Processing in Rugged Environments. By Joe Lin, General Manager, Industrial Computing Solutions.

Top 5 Reasons to Consider

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

The Arista Universal transceiver is the first of its kind 40G transceiver that aims at addressing several challenges faced by today s data centers.

The CoreConnect Bus Architecture

Eliminating Routing Congestion Issues with Logic Synthesis

Innovative DSPLL and MultiSynth Clock Architecture Enables High-Density 10/40/100G Line Card Designs

PCI Express 4.0. Electrical compliance test overview

The Myricom ARC Series of Network Adapters with DBL

Tackling Verification Challenges with Interconnect Validation Tool

Platform for System LSI Development

Leveraging the Intel HyperFlex FPGA Architecture in Intel Stratix 10 Devices to Achieve Maximum Power Reduction

Technology Platform Segmentation

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Maximizing heterogeneous system performance with ARM interconnect and CCIX

NVMe over Universal RDMA Fabrics

Cluster-based approach eases clock tree synthesis

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Arria 10 Transceiver PHY User Guide

High Performance Memory in FPGAs

Server-Grade Performance Computer-on-Modules:

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

AMD 780G. Niles Burbank AMD. an x86 chipset with advanced integrated GPU. Hot Chips 2008

Hardware Design with VHDL PLDs IV ECE 443

High Speed Design Testing Solutions

Multi-Core Microprocessor Chips: Motivation & Challenges

AXI4 Interconnect Paves the Way to Plug-and-Play IP

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

Trends in Digital Interfaces for High-Speed ADCs

White Paper Understanding 40-nm FPGA Solutions for SATA/SAS

OIF CEI-56G Project Activity

Data Sheet FUJITSU PLAN EP Intel X710-DA2 2x10GbE SFP+

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

Deploying Data Center Switching Solutions

technology Leadership

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Selecting the Correct High Speed Transceiver Solution

8. Selectable I/O Standards in Arria GX Devices

Welcome. Altera Technology Roadshow 2013

SD Express Cards with PCIe and NVMeTM Interfaces

The Myricom ARC Series with DBL

Technical Article MS-2442

Accelerating Innovation

Hybrid Memory Cube (HMC)

Low-Power Technology for Image-Processing LSIs

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

VE883. 4K HDMI Optical Extender (K1, MM) / 10km (K2, SM))

JMR ELECTRONICS INC. WHITE PAPER

SYNTHESIS FOR ADVANCED NODES

Building Differentiated Products Through Shorter, More Predictable Design Cycles

Network protocols and. network systems INTRODUCTION CHAPTER

USB 3.0 A Cost Effective High Bandwidth Solution for FPGA Host Interface Introduction

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

Selecting PLLs for ASIC Applications Requires Tradeoffs

Achieving Lowest System Cost with Midrange 28-nm FPGAs

DIRECT ATTACH CABLES FOR DATA CENTERS

An Executive View of Trends and Technologies in Electronics

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

Design, Verification and Emulation of an Island-Based Network Flow Processor

IoT, Wearable, Networking and Automotive Markets Driving External Memory Innovation Jim Cooke, Sr. Ecosystem Enabling Manager, Embedded Business Unit

Synopsys Design Platform

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

IBM Data Center Networking in Support of Dynamic Infrastructure

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING

Addressing the Power-Aware Challenges of Memory Interface Designs

IDT Bridging the PCI Express Interconnect Divide Application Note

Xilinx SSI Technology Concept to Silicon Development Overview

Applying the Benefits of Network on a Chip Architecture to FPGA System Design

Overview of Digital Design with Verilog HDL 1

Thunderbolt 3 for Your Business

Apache s Power Noise Simulation Technologies

Cavium FastLinQ 25GbE Intelligent Ethernet Adapters vs. Mellanox Adapters

Power Aware Architecture Design for Multicore SoCs

Active Optical Cables. Dr. Stan Swirhun VP & GM, Optical Communications April 2008

The S6000 Family of Processors

Solving Today s Interface Challenge With Ultra-Low-Density FPGA Bridging Solutions

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Gen-Z Memory-Driven Computing

Consideration for Advancing Technology in Computer System Packaging. Dale Becker, Ph.D. IBM Corporation, Poughkeepsie, NY

APEX II The Complete I/O Solution

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

The Future of Electrical I/O for Microprocessors. Frank O Mahony Intel Labs, Hillsboro, OR USA

Transcription:

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition video streaming are all taxing enterprise/server computing performance and bandwidth. To support these demands, the IT infrastructure calls for higher data rates, and communications protocols such as PCI Express are responding by increasing the serial data rate to 16Gbps per channel. On the process side, the advance-node FinFET process offers better speed/ gain transistor with lower power leakage, compared to its planar predecessors (32nm/28nm). This benefit, along with its given geometric advantage approximate 0.53X logic area scaling from generation to generation make the FinFET process ideal for high-speed/high-performance system-on-chip (SoC) design. To help assuage what are already complex SoC designs on advanced-node processes, many SoC architects look to intellectual property (IP) vs. in-house development. The market trend of incorporating multiple protocol interfaces into a single SoC design offers a great value proposition for the concept of adopting a single multiprotocol. This paper takes a look at how a new 16Gbps multi-protocol IP addresses the unique challenges of advanced-node FinFET design. The paper also discusses the benefits offered by its multi-protocol capability to support SoCs for server computing applications. Contents Introduction...1 Why a Multi-Protocol?...2 How the Multi-Protocol Works...2 Overview: 16Gbps Multi-Protocol IP...2 Conclusion...4 For Further Information...5 Sources...5 Introduction We re living in a high-speed, high-bandwidth era, where we are sharing and transacting on an increasingly voluminous amount of digital data. The IT backbone supporting these connected applications must meet this continuous demand for faster processing performance and higher I/O bandwidth. Applications particularly those in areas such as consumer, networking, and storage are transitioning as a result, moving from typical 5-8Gbps to 10-16Gbps (per physical channel) speeds. See Table 1 for a quick snapshot of the protocol standards that offer data rate support of greater than 10Gbps. Standard Application Max. Data Rate (Gbps per channel) (IEEE 802.3 clause 49) Networking 10.3125 12.5 Remark Mature products in the market HMC-SR Storage 15 April 2013 v1.0 spec release USB 3.1 Consumer 10 July 2013 v1.0 spec release PCIe Gen 4.0 Consumer 16 Completed specification anticipated in Q4 2015 Table 1: Major 10Gbps+ Standards

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP PCI Express (PCIe ) 4.0 is the next generation of the ubiquitous and general-purpose PCI Express I/O specification. With this latest generation, the interconnect bandwidth has doubled over the PCIe 3.0 specification (8Gbps). Meanwhile, compatibility with software and mechanical interfaces is maintained. When used along with modern advanced processes, PCIe 4.0 enables SoC designs to integrate more digital logic and run at faster speeds with lower active power consumption. For example, you d be able to take advantage of L1 sub-states engineering change notice (ECN). Designing a high-speed, high-performance for such advanced technology, however, can be challenging on many levels. As an example, consider the 16nm FinFET process this technology brings advantages including lower leakage and power and higher drive currents. But this type of design also presents characteristics that are very different from recent semiconductor devices, such as high output impedance (because of low gds), low static current densities, and very restrictive device sizing. In addition, because device width is quantized, place and route and custom design tools need to be updated. In addition, the 3D structure requires 3D RC extraction. There s an increase in gate capacitance, which impacts static timing analysis (STA). Stronger Miller Effects call for certification of electro-migration, IR, and STA tools. 1 In the following sections, let s discuss how a silicon-proven high-performance 16Gbps can support not only PCe 4.0 applications at the 16nm FinFET process but also deliver on the market s needs for IP cores that support multiple protocols. Why a Multi-Protocol? Modern SoC designs tend to integrate more and more interface protocols in response to market demands for: Flexibility to bridge different systems, such as PCIe,, USB, and Ethernet, in an all-in-one unit Alleviated engineering burden for handling the increased technical complexity on each protocol Competitive costs along with fast time to market, through the sharing the common components and by reducing the extra time required to bring up each individual protocol How the Multi-Protocol Works Typically, a consist of two modules: the physical media attachment (PMA) and the physical coding sublayer (). The PMA is the analog part of the implementation, while the is a digital block establishing the functional link to the protocol supported. A multi-protocol implements support for multiple protocols by optimizing the usage of signal-path blocks needed for each protocol. In the case of the PMA, this support consists of a common high-speed signal path across multiple protocols. For the digital, it entails a configurable design that uses the same signal-path components multiplexed as needed for different protocols. This approach leads to optimized die area and, as a result, lower SoC cost and an accelerated design schedule. By tapping into a single, multi-protocol IP, an SoC designer can reuse many of the common design building blocks, enjoy a much simpler design verification process, benefit from a faster bring-up and characterization process, and perform only a single test chip tapeout. Other advantages of a single IP: Create a single design that supports multiple applications. The advanced transceiver and clocking architecture minimizes power and the number of logic components required. Change protocol during the design process via a soft code change, not a full chip redesign and without the headache of readjusting the chip floorplan Relieve engineering burden and reduce overall design costs by getting multiple uses from a single IP Overview: 16Gbps Multi-Protocol IP Cadence offers a 16Gbps multi-protocol IP that is a hard macro consisting of a PMA layer and a soft. It provides continuous frequency range support from 1.25Gbps to 16Gbps, with the capability to equalize channel loss up to 30dB at Nyquist, and complies with the PCIe 4.0,, R,, GbE/, 3, and HMC-SR specifications. The IP supports low-power mode (such as L1 sub-states for PCIe) for power-efficient applications. Implemented on TSMC s 16nm FinFET process, the IP can help control costs and power for highperformance designs. www.cadence.com 2

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP Specification PCIe 4.0 R Gigabit Ethernet/ HMC-SR Data Rate 2.5Gbps, 5Gbps, 8Gbps, 16Gbps 10.3125Gbps, 12.5Gbps 3.125Gbps 6.25Gbps 1.25Gbps 1.5Gbps, 3Gbps, 6Gbps 10Gbps, 12.5Gbps, 15Gbps Table 2: 16Gbps Multi-Protocol IP Compliance The also offers two different options of multi-protocol configuration: single link and multi link (Figure 1). Single link offers conventional multi-protocol function with all the lanes running at one protocol at a time. Thanks to the novel dual phase-locked loop (PLL) clocking topology employed in this design, the multi-link option offers greater flexibility for SoCs to exploit different protocols via different lanes concurrently. Controllers Controllers USB3.x PCIe 4.0 USB3.x PCIe 4.0 PIPE Multi-Protocol Ethernet Custom PIPE Multi-Protocol Ethernet Custom Ch-0 Ch-1 CMN PLL Ch-2 Ch-3 Ch-0 Ch-1 CMN PLL Ch-2 Ch-3 One protocol at a time Each lane runs different protocol Option 1: Single-Link Multi-Protocol Option 2: Multi-Link Multi-Protocol Figure 1: Single-link and multi-link configuration options in multi-protocol. The following eye diagram demonstrates the superior performance at 16Gbps. Figure 2: Eye diagram of 16Gbps performance. www.cadence.com 3

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP Given the aforementioned side effects introduced by the advanced FinFET process, this IP is architected and implemented in a way to overcome the following challenges: Data and clock recovery requirements in high-speed, high db loss, and high-crosstalk channels Critical loop timing specifications for the decision feedback equalizer (DFE) Environmental and process variations Transmitter performance under low supply conditions High-speed clock distribution Its block design is optimized for one function and its system design for overall performance (speed vs. power trade-off). To reduce dependency on highly accurate process characteristics, simple analog blocks that don t rely on precise device characteristic knowledge are used. In order to gain the maximum margin for IR drops and supply noise interference, the supply voltage is set as low as possible. Adaptation loops measure at the highest level possible and control at the lowest level possible. Network Processor System 3 PCIe4 PCIe3/2/1 PCIe Controllers USB 3.0 USB 3.1 SSIC DDR Memory CPU (0)... CPU (x-1) CPU (x) Graphics Internal Bus 10/40G Ethernet MAC (XGM) Backplane Ethernet Auto- Negotiation (BEAN) 10G 40G 10/40/ 100G ( or 20) (XG2G) 10GE to 1GE 10/20 bit Or 16/32 bit 10GE 4x10GE 4x10GE 4x3.125G 1.25G GMII Figure 3: Example system-level block diagram. All of the light blue blocks are to be covered by this multi-protocol design. Conclusion Increasing digital demands in our world mean that the data rate evolution we are experiencing will continue. While new industry protocols keep rolling out to offer system perspective solutions, technical challenges to engineering design will remain relentless. Modern SoC designs incorporate more and more interface protocols in a single chip to meet demands for product flexibility, fast time to market, and cost competitiveness. The proposed multi-protocol IP provides the market an easy-to-use unified PMA + configurable approach in conjunction with cost benefits. The IP offers an optimum solution to satisfy application needs ranging from data rates of 1.25Gbps to 16Gbps and covering most major and popular standards, such as PCIe,, USB 3.x, /,, and others. From the process technology point of view, in contrast to its predecessor process at 28nm, the 16nm FinFET process offers better speed performance and power-saving merits. However, the 16nm FinFET process also brings unfavorable side effects, such as much higher device rout requiring extra effort for loop stabilization, device current www.cadence.com 4

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP density that is limited by metal before overdrive, and area-expensive decoupling capacitance. All of these design implications require experienced engineering and the persistence of quality commitment on iterations of design and layout optimizations with respect to the desired power, performance, and area. FinFET technology facilitates higher speed design (from 8Gbps to 28Gbps) for addressing emerging standards such as PCIe 4.0. Given the degree of complexity on designing a high-performance multi-gbps data rate, system houses and chip companies tend to out-source these types of sophisticated jobs to capable and qualified vendors, like Cadence. Cadence has committed resources for TSMC 16nm FinFET IP development across the board, building on its analog EDA experience and successful IP development in advanced process technology nodes (28nm and 16nm). Cadence s 16Gbps multi-protocol IP can help designers meet cost and power targets for high-performance designs. For Further Information Learn more about the architecture of Cadence s multi-protocol IP in this white paper (you will need to log in to your Cadence account for access): http://ip.cadence.com/uploads/file/1021/652/multi_protocol IP_WP_final.pdf Sources 1. https://www.semiwiki.com/forum/content/3165-designing-soc-16nm-finfet.html Cadence Design Systems enables global electronic design innovation and plays an essential role in the creation of today s electronics. Customers use Cadence software, hardware, IP, and expertise to design and verify today s mobile, cloud and connectivity applications. www.cadence.com www.cadence.com 2015 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence and the Cadence logo are registered trademarks of Cadence Design Systems, Inc. in the United States and other countries. PCI Express and PCIe are registered trademarks and/or service marks of PCI-SIG. All other trademarks are the property of their respective owners. 3727 01/15 CY/DM/PDF