SYNTHESIS FOR ADVANCED NODES

Similar documents
An overview of standard cell based digital VLSI design

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

An Overview of Standard Cell Based Digital VLSI Design

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

EE194-EE290C. 28 nm SoC for IoT

VLSI Design Automation. Maurizio Palesi

Linking Layout to Logic Synthesis: A Unification-Based Approach

VLSI Design Automation

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

EE-382M VLSI II. Early Design Planning: Front End

More Course Information

101-1 Under-Graduate Project Digital IC Design Flow

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

VLSI Design Automation

Eliminating Routing Congestion Issues with Logic Synthesis

Design of Framework for Logic Synthesis Engine

An Interconnect-Centric Design Flow for Nanometer Technologies

Digital VLSI Design. Lecture 7: Placement

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

COE 561 Digital System Design & Synthesis Introduction

FABRICATION TECHNOLOGIES

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Design Methodologies

Chapter 5: ASICs Vs. PLDs

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

Thermal-Aware 3D IC Physical Design and Architecture Exploration

VLSI Test Technology and Reliability (ET4076)

Outline Marquette University

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

ASIC Physical Design Top-Level Chip Layout

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

Low-Power Technology for Image-Processing LSIs

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Comprehensive Place-and-Route Platform Olympus-SoC

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

CSE241 VLSI Digital Circuits UC San Diego

EITF35: Introduction to Structured VLSI Design

UCLA 3D research started in 2002 under DARPA with CFDRC

The Design of the KiloCore Chip

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

On GPU Bus Power Reduction with 3D IC Technologies

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

ECE 595Z Digital Systems Design Automation

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

10. Interconnects in CMOS Technology

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

CHAPTER 1 INTRODUCTION. equipment. Almost every digital appliance, like computer, camera, music player or

Process-Induced Skew Variation for Scaled 2-D and 3-D ICs

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

Cluster-based approach eases clock tree synthesis

EE586 VLSI Design. Partha Pande School of EECS Washington State University

Recent Topics on Programmable Logic Array

Physical Design Closure

Based on slides/material by. Topic Design Methodologies and Tools. Outline. Digital IC Implementation Approaches

Digital Design Methodology

Power, Performance and Area Implementation Analysis.

A 65nm LEVEL-1 CACHE FOR MOBILE APPLICATIONS

Hardware describing languages, high level tools and Synthesis

Logic Synthesis and Verification

FPGA: What? Why? Marco D. Santambrogio

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

ASIC, Customer-Owned Tooling, and Processor Design

Call for Participation

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

OpenAccess In 3D IC Physical Design

Chapter 2. Boolean Algebra and Logic Gates

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Calibrating Achievable Design GSRC Annual Review June 9, 2002

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Spiral 2-8. Cell Layout

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Advanced Digital Integrated Circuits. Lecture 9: SRAM. Announcements. Homework 1 due on Wednesday Quiz #1 next Monday, March 7

Brief Introduction of Cell-based Design. Ching-Da Chan CIC/DSD

Three-Dimensional Integrated Circuits: Performance, Design Methodology, and CAD Tools

ProASIC PLUS FPGA Family

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

ESE535: Electronic Design Automation. Today. Question. Question. Intuition. Gate Array Evaluation Model

On the Decreasing Significance of Large Standard Cells in Technology Mapping

CHAPTER 1 INTRODUCTION

EE582 Physical Design Automation of VLSI Circuits and Systems

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning

Design Methodologies and Tools. Full-Custom Design

Introduction. Summary. Why computer architecture? Technology trends Cost issues

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

An Introduction to Programmable Logic

ECE260B CSE241A Winter Logic Synthesis

ECE 486/586. Computer Architecture. Lecture # 2

Physical Implementation

Conservation Cores: Reducing the Energy of Mature Computations

Package level Interconnect Options

Monolithic 3D IC Design for Deep Neural Networks

THE latest generation of microprocessors uses a combination

Cadence On-Line Document

Transcription:

SYNTHESIS FOR ADVANCED NODES Abhijeet Chakraborty Janet Olson SYNOPSYS, INC ISPD 2012 Synopsys 2012 1 ISPD 2012

Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge The Power Challenge The Congestion Challenge Synopsys 2012 2

1949: Claude E. Shannon The Synthesis of Two-Terminal Switching Circuits, Bell System Technical Journal Synopsys 2012 3

1953: Maurice Karnaugh The Map Method for Synthesis of Combinational Logic Circuits, Bell Labs Synopsys 2012 4

1956: Edward J. McCluskey Algebraic Minimization and the Design of Two-Terminal Contact Networks, Ph.D. Thesis, MIT Minimization of Boolean Functions using Prime Implicants Design of Two-Terminal Networks Synopsys 2012 5

1981: Multi-Level Minimization IBM, UCB, and University of Colorado at Boulder John Darringer, William H. Joyner, and Louise H. Trevilyan, e.g. Logic Synthesis Through Local Transformations, IBM, 1981 Robert K. Brayton, Gary D. Hachtel, A. Richard Newton, and Alberto L. Sangiovanni-Vincentelli, e.g. Logic Minimization Algorithms for VLSI Synthesis, UCB, 1984 Espresso Using heuristic and algorithms to reduce logic complexity Synopsys 2012 6

1986: Logic Compiler Optimal Solutions, Inc. (aka Synopsys, Inc.) Technology X Provide automation and increase productivity for gate level designers Synopsys 2012 7

1988: Technology X =Design Compiler Launched the era of HDL-based design Massive productivity gains From days to hours and minutes Synopsys 2012 8

Design Compiler: Keeping Up With the Technology Curve in EDA Synopsys 2012 9

Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge The Power Challenge The Congestion Challenge Synopsys 2012 10

Market Challenge: Mobile Devices A single device that does it all! Cell Phone Digital Media Full Internet GPS Computer Interactive Gaming HDTV with DVR All powered by a 900mAh battery Market Drivers (ITRS) Size/Weight Ratio Battery Life Function: 2X Every 2 Years Time to Market: ASAP Synopsys 2012 11

Market Trends: Clock Frequency 100% >2GHz 80% 751MHz-1GHz 501-750MHz 1-2GHz 42% 60% 401-500MHz 301-400MHz 40% 201-300MHz 20% 101-200MHz 51-100MHz 50MHz 0% 2004 2005 2006 2007 2008 2009 2010 2011 N = 962 Source: 2011 Synopsys Global User Survey Synopsys 2012 12

Market Trends: Advanced Design 56% of respondents currently designing at 45nm or below 100% 75% 90nm 45/40nm 32/28nm <20nm 22/20nm 50% 65/55nm 130nm 25% 180nm 0% 250nm 2002 2003 2004 2005 2006 2007 2008 2009 2010 2011 N = 1277 Source: 2011 Synopsys Global User Survey Synopsys 2012 13

Looking Into The Next Decade Making the Transition to High-Level Design Again! 100% Percent of Area 90% 80% 70% 60% 50% 40% 30% 20% 10% 0% 20% 30% 50% 38% 25% 44% 23% 37% 33% 53% 21% 58% 20% 26% 22% 65% 69% 19% 18% 16% 13% 1999 2000 2005 2008* 2011* 2014* 2017* % Area New Logic % Area Reused Logic % Area Memory 10 s of Millions of lines of code Source: Semico Research Corp. New logic allows design of chips that are differentiated in Silicon Synopsys 2012 14

Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge The Power Challenge The Congestion Challenge Synopsys 2012 15

The Interconnect Challenge 2005 2010 2012 Process 130 to 90nm 65nm, 45nm, <32nm 28nm, 20nm, 14nm Wire length (m/cm 2 ) 1019 2222 3143 Important new Effects Route Topology Layer Awareness Coupling Capacitance Resistive shielding Much less resistance on higher metal layers Impact of interconnect has to be considered in Logic Synthesis Helps faster convergence tight correlation with the backend Produces more efficient designs lower area, power Design flow becomes more predictable Improves performance higher frequency Synopsys 2012 16

Metal Layers Can Affect Timing Pre-Route Vs. Post-Route Correlation In some cases, pre-route estimates can be overly pessimistic/optimistic Increased RC variation at advanced nodes Layer-awareness can provide more accuracy All metal layers do not have the same RC values Account for detoured nets due to congestion or obstruction Metal 1-3 pitches match transistor pitch Graduated upper level pitches optimize density and performance Source: Intel: A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal- Gate Transistors, Enhanced Channel Strain and 0.171um2 SRAM Cell Size in a 291Mb Array, S. Natarajan, et al., IEDM, 2008 Synopsys 2012 17

Brief History of Interconnect Modeling Physically-Aware Timing Correlation 1986 2005 2010 Process >1 um to 90nm 130 to 90nm 65nm, 45nm, <32nm Modeling WLM Physical Aware Net Models Consider more effects Coupling Cap Density Coupling Capacitance Fanout based Capacitance Wider wires More spacing Taller wires Less spacing Density Layout 1 Layout 2 Synthesis Place & Route Synopsys 2012 18

Solving the Interconnect Challenge Modeling of resistance and capacitance with good fidelity Achieve Optimal Floor Plan Efficiently Look-ahead optimizations 5% Correlation to Place-and-Route Floorplan exploration Physical guidance to Place and Route tool Faster convergence Tight timing correlation to layout Design Compiler IC Compiler 1.5X Faster Placement Runtime Synopsys 2012 19

Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge The Power Challenge The Congestion Challenge Synopsys 2012 20

The Static Power Challenge We have reaped the rewards of high-k and metal gates static power is still increasing Static power increases 3X per technology node AND ~ 2X every 10 C Higher static power increases temperature, AND higher temperature increases static power Time-variable temperature gradients across the IC surface Increasingly complex power management schemes exacerbate this problem Temperature Inversion Synopsys 2012 21

Synthesis Needs to Optimize Across Many PVT Corners, Concurrently Gate Delay Leakage -40 0 125 Temperature (C) 45nm Timing VDD: 1.2V HVT SVT LVT -40 0 125 Temperature (C) 45nm Leakage VDD: 1.2V HVT SVT LVT Gate Delay -40 0 125 Temperature (C) 45nm Timing VDD: 0.99V HVT SVT LVT Synopsys 2012 22

Outline Logic Synthesis Evolution Technology and Market Trends The Interconnect Challenge The Power Challenge The Congestion Challenge Synopsys 2012 23

The Congestion Challenge Until recently, improving congestion could only be addressed in the back-end, during placement and routing. Congestion was seen as an exclusively backend problem. The placer solution is to spread out the cells in the congested areas. The router solution is to do many search-andrepair loops. Synopsys 2012 24 24

The Congestion Challenge (Cont...) Solving congestion with placement doesn't always work. Sometimes, congestion persists even after spreading the cells. Even when it does work, it comes at a cost to delay and area. Solving congestion in routing can also be problematic Many search-and-repair loops may be needed. It takes a lot of CPU time (and calendar time!). It's risky. Synopsys 2012 25 25

Types of Congestion Floorplan congestion Caused my macro or port placement. Can probably only be effectively addressed by changing the floorplan. Cell congestion Shows up as a blob of congestion out in the open. Probably due to the nature of the netlist. Synopsys 2012 26 26

Logic Synthesis and Congestion Routing congestion is often caused by the topology of the netlist. The netlist topology is the result of many arbitrary decisions made during logic synthesis. During logic synthesis, we have a great deal of control over the netlist topology. By making some wise decisions during logic synthesis, we can reduce congestion considerably. Synopsys 2012 27 27

Congestion: What can be done? In general, once the logic is mapped and placed, it is too late. The topology of the network Many nets (graph edges) Highly connected (a many-to-many mapping) Examples: Large sums of products Parallel high-fanout nets Aggressive or widespread logic sharing Scan logic Synopsys 2012 28 28

Large Sums of Products Large sums of products can create congestion if the OR trees combining the minterms (or cubes) differ in structure. When this happens, no placement of the cells can solve the congestion. Synopsys 2012 29 29

Congestion: Prediction Synopsys 2012 30

Congestion: Optimization Synopsys 2012 31

Looking Into the Next Decade There is a Great Deal of New Technology Ahead! Synopsys 2012 32