EECS 244 Computer-Aided Design of Integrated Circuits and Systems

Similar documents
CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

What is this class all about?

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

What is this class all about?

What is this class all about?

COE 561 Digital System Design & Synthesis Introduction

EE586 VLSI Design. Partha Pande School of EECS Washington State University

Chapter 5: ASICs Vs. PLDs

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology

EE141- Spring 2007 Introduction to Digital Integrated Circuits

Digital Integrated Circuits

Design Methodologies

Evolution of CAD Tools & Verilog HDL Definition

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

More Course Information

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

EE241 - Spring 2004 Advanced Digital Integrated Circuits

ECE 747 Digital Signal Processing Architecture. DSP Implementation Architectures

VLSI Design Automation

A VARIETY OF ICS ARE POSSIBLE DESIGNING FPGAS & ASICS. APPLICATIONS MAY USE STANDARD ICs or FPGAs/ASICs FAB FOUNDRIES COST BILLIONS

Design Verification Lecture 01

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

CHAPTER 1 INTRODUCTION

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

Hardware Software Codesign of Embedded Systems

Platform for System LSI Development

ASIC, Customer-Owned Tooling, and Processor Design

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Announcements. Midterm 2 next Thursday, 6-7:30pm, 277 Cory Review session on Tuesday, 6-7:30pm, 277 Cory Homework 8 due next Tuesday Labs: project

Design Methodologies. Full-Custom Design

Hardware Software Codesign of Embedded System

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

An Introduction to Programmable Logic

Design Methodologies and Tools. Full-Custom Design

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Park Sung Chul. AE MentorGraphics Korea

EE 434 ASIC & Digital Systems

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

EE290 A: Advanced Topics in CAD

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Spiral 2-8. Cell Layout

Design and Technology Trends

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

VLSI Design Automation

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Programmable Logic Devices II

An Overview of Standard Cell Based Digital VLSI Design

Cluster-based approach eases clock tree synthesis

FABRICATION TECHNOLOGIES

System on Chip (SoC) Design

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

Programmable Logic Devices Introduction CMPE 415. Programmable Logic Devices

Hardware/Software Co-design

ELCT 501: Digital System Design

FPGA Based Digital Design Using Verilog HDL

Design Methodologies. Kai Huang

Intro to High Level Design with SystemC

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

Digital Electronics 27. Digital System Design using PLDs

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

From Concept to Silicon

Overview of Digital Design Methodologies

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

EEM870 Embedded System and Experiment Lecture 2: Introduction to SoC Design

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE 261: Full Custom VLSI Design

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

EE5780 Advanced VLSI CAD

CMPE 415 Programmable Logic Devices Introduction

Lecture (05) Boolean Algebra and Logic Gates

Design of DMA Controller Using VHDL

E 4.20 Introduction to Digital Integrated Circuit Design

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

Elettronica T moduli I e II

ProASIC PLUS FPGA Family

DESIGN STRATEGIES & TOOLS UTILIZED

Codesign Framework. Parts of this lecture are borrowed from lectures of Johan Lilius of TUCS and ASV/LL of UC Berkeley available in their web.

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design

Hardware Design with VHDL PLDs I ECE 443. FPGAs can be configured at least once, many are reprogrammable.

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Transcription:

EECS 244 Computer-Aided Design of Integrated Circuits and Systems Professor A. Richard Newton Room 566 Cory Hall 642-2967, rnewton@ic.eecs Office Hours: Tu. Th. 3:30-4:30pm Fall 1997 Administrative Details 566 Cory Hall, 2-2967, rnewton@ic.berkeley.edu OH: Tu. Th. 3:30-4:30pm T.A.: Michael Shilman, michaels@eecs.berkeley.edu Lecture: Tu. Th. 2-3:30pm, 203 Mc Laughlin Grading: Homeworks: 40% Final Project: 60% Page 1

Goals of the Course Introduce fundamental concepts and algorithms used in the physical design of IC's & IC-based systems. Provide a broad and state-of-the-art context for electronic design automation. Identify promising new areas and problems for research, especially: Role of the Web and Java in EDA Impact of Deep Sub-Micron (DSM) Technologies Familiarize you with the Berkeley computing environment and some Berkeley CAD Tools and Systems Partial preparation for the CAD Preliminary Examination. Design Technology All software, hardware and infrastructural support aspects of the design of electronic systems CAD tools for verification and synthesis, from software and behavior to chip and board layout. All aspects of the integration and management of such tools with other tools and technologies. User interface technology. Special-purpose hardware emulators, accelerators and interfaces. Design decision support systems, including: ASIC gate, megacell and die libraries (simulation, layout, test, formal verification, etc.) Estimators (area, cost, power, speed, etc.) Page 2

Semiconductor Industry Growth Steady State? 1995 2030 Semiconductor as % of Electronics 17% 35% Electronics as % of GWP 4% 8% Semiconductors as % of GWP 0.7% 3% CMOS Technology 0.35µm 0.05µm World Semiconductor Sales $140B $12,000B Annual Growth Rate 16% 8%, same as GWP Source: Prof. Chenming Hu, UC Berkeley, 1996 Semiconductor Capital Investment 70 Investment ($B) 60 50 40 30 20 Asia Europe Japan USA 10 0 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 0 Year of Investment Projected Source: Dataquest Page 3

SIA/SRC 1994 National Technology Roadmap >0.5G logic gates >2G bits SRAM Today s Design Methodologies Will Not Scale Much Further The Deep Sub-Micron (DSM) Effect ( 0.25µ) DSM Microscopic Problems Wiring Load Management Noise, Crosstalk Reliability, Manufacturability Complexity: LRC, ERC Accurate Power Prediction Accurate Delay Prediction etc. Everything Looks a Little Different? 1/DSM Macroscopic Issues Time-to-Market Millions of Gates High-Level Abstractions Reuse & IP: Portability Predictability etc. and There s a Lot of Them! Adapted from Dr. Kurt Keutzer, Synopsys Page 4

Chip Interconnect Systems Source: Sematech Source: W. Maly, CMU Interconnect will eventually dominate all approaches to the design process and will limit the overall effectiveness of any approach. Power Dissipation (W) 100 10 1 0.1 4 times / 3 years MPU (VDD=5V) MPU (VDD=3.3V DSP (VDD=5V) DSP (VDD=3.3V) 0.01 80 85 Year 90 95 Sakurai & Kuroda, Sashimi 96 Page 5

Power as the Driver 1000 We believe power always has been the driver! MIPS/mW 100 10 1 0.1 0.01 Four orders of magnitude 0.001 Pentium StrongARM TI DSP Dedicated 0.35µm 0.35µm 0.25µm 1µm Source: R. Brodersen, Berkeley Particular Function (e.g. MPEG) Evaluations/W ASIC X-GP processor GP processor Real-time requirement Non real-time Time Page 6

The Full-Chip Bus is Dead! Some Implications Synchronous and asynchronous? Has global architectural implications Route-then-Place : Use more transistors, gates, etc. if it helps manage the routing Automatically compiled complex blocks (e.g. memory, datapath, etc.) Return of the Silicon Compiler Complex Synthesized Structures (CSS) More complex basic cells? On-the-fly library generation? Sense-amp latches? Transparent latches? Retiming, retiming, retiming,? Advanced CMOS Design Methodology System-Level Design Design Technology New Design Methodologies Electrical Design Process Technology Page 7

Representation as an Aid in Decision Support k-d Tree ShakeSort Beyond Hierarchy e.g. Level-of-Detail (VRML 2), Magic Lens (Xerox) Transformation Lens See-through lens, magnifier Page 8

Abstractions for Collaboration e.g. "Fisheye Lens" (Hyperbolic Browser; DEC, Xerox) Escher File System Simplified Model of Design Behavior Functions the system must implement Time, area, power, etc. constraints Implementation-independent description Register Components and their interconnections Std. components & ROM, ASIC, PLD Timing constraints for components Gate Low-level components & nets In terms of ASIC library EDIF well-suited to this description Mask Physical layout of IC or board EDIF well-suited to this description Page 9

Behavioral Level Register Level Gate Level Physical Level Manual Behavioral Design Manual Register Design Manual Gate-Level Design Manual Physical Design Captive Fabrication Physical Synthesis CAD Early Late 1970 s 1980 s PCB Business Si Foundry Business Structured Custom Chip Layout Page 10

Cell-Based Design Styles Channeled Gate Array Cell-Based Design Styles Sea-of-Gates GA Page 11

Cell-Based Design Styles Embedded Blocks with GA Cell-Based Design Styles PLD Page 12

Cell-Based Design Styles FPGA ASIC Design Starts 14,000 12,000 ASIC Design Starts 10,000 8,000 6,000 4,000 Std. Cell Gate Array 2,000 0 94 95 96 97 98 99 0 Year Projected Source: Dataquest Page 13

Standard Cell Layout Standard Cells vs. Gate Array (a) Two tracks required and all connections routed. (b) Shorter wire length but three tracks required. In a Standard Cell design, an additional track could be added while in a Gate-Array, the designer is faced with extra wire length or no connection. Page 14

Layout Abstractions for Cell-Based Design Mask-Level Layout Cell Abstraction for Automatic Placement Channeled Gate Array Page 15

Placement & Routing Placement Initial Placement Random Constructive (top-down) Direct (bottom-up) Placement Improvement n-wise interchange Gate-assignment (if multiple gates/package) Routing Global Routing (e.g. channel assignment) Pin Assignment (assignment of nets to pins) Detailed Routing Clean-up (e.g. via-pushing) Behavioral Level Register Level Gate Level Physical Level Manual Behavioral Design Manual Register Design Manual Gate-Level Design Manual Physical Design Captive Fabrication Logic Synthesis CAD placement global routing detailed routing compaction clock/power routing X Early Late 1980 s logic minimization structuring, Xmapping gate sizing, ASIM PCB buffering in-place Business optimization ASIC sign-off ASIC Business Page 16

Behavioral Level Register Level Gate Level Physical Level Manual Behavioral Design Manual Register Design Manual Gate-Level Design Manual Physical Design Captive Fabrication Logic Synthesis CAD Late 1990 s RTL sign-off XASIM SOC Business ASIC Business SOC in 1996 S/P RAM RAM µc DMA ASIC LOGIC DSP CORE Source: Kurt Keutzer, Synopsys Page 17

Capacitance of Crossing Lines l 70% of interconnections in a DSM chip are parallel crossing lines with 3D effects Other Near-Term Micro-Architectures RAM RAM µc FPGA S/P DMA DSP CORE RAM RAM µc FPGA S/P DMA DSP CORE M P E G Mixed hardware-software Extended hardware-software RAM µp RAM FPGA Fully-programmable RAM µp RAM VECTOR UNIT IRAM-Like Source: Kurt Keutzer, Synopsys Page 18

SOC Challenge System-Level Design Specification for Embedded Applications Source-Level Design Abstractions Characterization... Circuit Fabrics for SOC Methodology Reprogrammable Reliable, Predictable Very good PDA Product This Course Libraries Verification Synthesis... Single-Chip Silicon Implementation Styles Implementation Fabric Architectural Style MEMORY LOGIC PROCESSOR SRAM, Mask Electrically General DSP ASSP DRAM, ROM, Programmed Programmed Flash Purpose Microcomputer ( ) ( ) RAM/ROM FPGA ASIC ( ) ( ) ( ) System-on-Chip (SOC) Reconfigurable SOC ( ) ( ) ( ) Intelligent RAM ( ) : major emphasis, : present, ( ): option Page 19

Integrated Head-Mounted Display Integrated Position/Orientation Sensors and Signal Processing Integrated Power Source Integrated Audio IR Video/Audio Link Stereo Microvideo Displays Input CAD Tool Algorithm Output Representation Layout Layout Check Errors CheckSpaces Collection of Boxes Page 20

Y 9 8 7 6 5 4 3 2 1 1 2 3 4 5 6 7 8 9 (1) Mask Layout (2) Break into Boxes X Y 9 8 7 6 5 4 3 2 1 1 2 3 4 5 6 7 8 9 Upper Right Corner (9.0, 9.0) Lower Left Corner (8.0, 3.0) X lowerleftx (LLX) lowerlefty (LLY) upperrightx (URX) upperrighty (URY) 8.0 3.0 9.0 9.0 Page 21

Y 9 10 8 7 7 8 6 6 9 5 4 4 5 3 3 2 2 1 1 1 2 3 4 5 6 7 8 9 X Y 9 8 7 6 9 5 4 5 3 3 2 2 1 1 1 2 3 4 5 6 7 8 9 (3) Name the Boxes (4) Determine Vertical Overlap X Y Case 1: No Y Overlap Case 2: Y Overlap Case 3: Y Enclosure Page 22

checkyoverlap (box1, box2) { if(box1.lly is greater than box2.ury) return(no) if(box1.ury is less than box2.lly) return(no) otherwise, return(yes) } checkspaces (layout) { breakintoboxes (layout) foreach(box in layout, box1) { foreach(other box in layout, box2) { if( checkyoverlap (box1, box2) is Yes) checkxspace (box1, box2) if( checkxoverlap (box1, box2) is Yes) checkyspace (box1, box2) }} end program } Page 23

Summary We must determine what are the predictable, optimal PDA circuit fabrics and associated architectures DSM implies many changes in physical design: Verification, Route-then-Place, Silicon Compilation, Timing and Power Issues, Communication Dominated Design Next step is: What are the best ways to represent the physical design problem and what are the metrics of a good design? Page 24