IOT is IOMSLPT for Verification Engineers

Similar documents
VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Incisive Enterprise Verifier

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Mixed Signal Verification Transistor to SoC

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

ASIC world. Start Specification Design Verification Layout Validation Finish

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Efficient Verification of Mixed-Signal SerDes IP Using UVM

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Maximizing Verification Effectiveness Using MDV

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

AMS Behavioral Modeling

Equivalence Validation of Analog Behavioral Models

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Making the Most of your MATLAB Models to Improve Verification

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Concurrent, OA-based Mixed-signal Implementation

Mixed-Signal Design Trends and Challenges

Synopsys Design Platform

width: 10, 20 or 40-bit interface maximum number of lanes in any direction

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Graph-Based Verification in a UVM Environment

ISO Tool Confidence Level (TCL)

Tackling Verification Challenges with Interconnect Validation Tool

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Parag Choudhary Engineering Architect

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Making it Easy to Deploy the UVM by Dr. Christoph Sühnel, frobas GmbH

A comprehensive approach to scalable framework for both vertical and horizontal reuse in UVM verification

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

INDUSTRIAL TRAINING: 6 MONTHS PROGRAM TEVATRON TECHNOLOGIES PVT LTD

Will Everything Start To Look Like An SoC?

Analog Verification Concepts: Industrial Deployment Case Studies

PG DIPLOMA COURSE IN VERIFICATION USING SYSTEMVERILOG & UVM NEOSCHIP TECHNOLOGIES

6 Month Certificate Program in VLSI Design & Verification" with Industry Level Projects. Tevatron Technologies Prívate Limited

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Intelligent Coverage Driven, modern verification for VHDL based designs in native VHDL with OSVVM

Does FPGA-based prototyping really have to be this difficult?

Open Verification Methodology (OVM)

Will Everything Start To Look Like An SoC?

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Module- or Class-Based URM? A Pragmatic Guide to Creating Verification Environments in SystemVerilog. Paradigm Works, Inc. Dr.

Practical experience in automatic functional coverage convergence and reusable collection infrastructure in UVM verification

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Universal Verification Methodology (UVM) Module 5

Responding to TAT Improvement Challenge through Testbench Configurability and Re-use

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Virtuoso - Enabled EPDA framework AIM SUNY Process

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50%

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Design and Verification of FPGA Applications

Verification Planning with Questa Verification Management

UVM in System C based verification

Comprehensive CDC Verification with Advanced Hierarchical Data Models

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics

A mixed signal verification platform to verify I/O designs

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Fast Track to Productivity Using Questa Verification IP by David Aerne and Ankur Jain, Verification Technologists, Mentor Graphics

Test and Verification Solutions. ARM Based SOC Design and Verification

Baseband IC Design Kits for Rapid System Realization

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

Development of UVM based Reusabe Verification Environment for SHA-3 Cryptographic Core

Complex Signal Processing Verification under DO-254 Constraints by François Cerisier, AEDVICES Consulting

Stacking UVCs Methodology. Revision 1.2

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Assertion Based Verification of AMBA-AHB Using System Verilog

Analog Verification. Ken Kundert. Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

Portable Stimulus vs Formal vs UVM A Comparative Analysis of Verification Methodologies Throughout the Life of an IP Block

Validation Strategies with pre-silicon platforms

With great power comes great responsibility:

Next Generation Design and Verification Today UVM REG: Path Towards Coverage Automation in AMS Simulations

Freescale, the Freescale logo, AltiVec, C-5, CodeTEST, CodeWarrior, ColdFire, C-Ware, t he Energy Efficient Solutions logo, mobilegt, PowerQUICC,

Portable VHDL Testbench Automation with Intelligent Testbench Automation by Matthew Ballance, Mentor Graphics

UVM BASED REUSABLE VERIFICATION IP FOR WISHBONE COMPLIANT SPI MASTER CORE

Comprehensive design and verification with the industry s leading simulators

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

AMS DESIGN METHODOLOGY

Simulation with Verilog-XL

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Accelerating FPGA/ASIC Design and Verification

Generation of UVM compliant Test Benches for Automotive Systems using IP-XACT with UVM-SystemC and SystemC AMS

The How To s of Metric Driven Verification to Maximize Productivity

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Portable Stimulus Driven SystemVerilog/UVM verification environment for the verification of a high-capacity Ethernet communication endpoint

Data Management for a Mixed-Signal Design Project with Distributed Teams. Himadri De, Scott Humphreys, William Farlow, Matt Deig, Tammy Glascock

UVM-SystemC Standardization Status and Latest Developments

Transcription:

IOT is IOMSLPT for Verification Engineers Adam Sherer, Product Management Group Director TVS DVClub Bristol, Cambridge, Grenoble, and worldwide 12 September 2017

IOT = Internet of Mixed-Signal Low Power Things (IOMSLPT) for Verification Engineers Automotive Consumer 2 2017 Cadence Design Systems, Inc. All rights reserved. Samsung DJI Mobility Data Center and Storage IoT/Industrial Design and Verification Challenges Design size and complexity Analog-digital integration at every level (IP, IC, SoC/system) Including analog early in design starting from hardware/software co-design Power top constraints for mixed signal AMS IP integration and re-use Distributed design/verification management Resource required for methodology (metricdriven verification, model-based) adoption Cost of design/silicon re-spin Verification teams have to deal with compounding low-power and mixed-signal verification challenges

Mixed-Signal Verification Flow Analog Domain Schematic Env. Analog Design Requirements Device Specs vplan Digital Domain MDV Digital Design Analog Verification Mixed Signal MDV with UVM-MS Block-Level Verification SV/UVM Testbench RF Receiver/ Transmitter FM Receiver/ Transmitter Audio Analog Modeling Bluetooth TV Real Number Model Creation PMU Communication Processor Image Processor LCD Driver Model vs Schematic Verification 3 2017 Cadence Design Systems, Inc. All rights reserved. PLL Application Processor DSP SV/UVM Testbench

Metric Driven Verification (MDV) Planning with unified verification metrics TB Top Analog Wire UVC env agent Seq driver Real Numbers seq seq seq Measure / Analyze Functional Specification Metric-based Executable Verification Plan Plan Execute Construct 4 2017 Cadence Design Systems, Inc. All rights reserved. Yes, for Analog and MS as well! Code reuse and structure Common, well-understood testbench structure Separation of interface code from DUT specific code Common file names and directory structure Randomization of stimulus Explore combinations of stimulus and device config Common, powerful test writing interface, reducing test-writing and maintenance effort Enable fewer simulations to reach spec-verification complete Automatic checking Verifies correct device behavior for all possible stimulus variations Combination of behavioral code and assertions Verification tracking using functional coverage Useful in risk mitigation and functional verification sign-off DUT-Specific and Customizable Monitor VAMS gasket Waveform Generator To DUT wreal/electrical Bias 0 0 Phase Period BFM Signal Map CTRL CTRL CTRL Sampler Measures and Drives: Amplitude

Level of Abstraction Real Number Modeling Benefits Much faster filtering of functional bugs early in verification process Significant increase in verification coverage Higher verification and design productivity Benefit Model development time and effort Accuracy, level of details Languages Analog Performance Simulation Continuous, Time-Based SPICE Electrical: Verilog-A Verilog-AMS VHDL-AMS Functional Verification Real Number Model Simulation Performance Pure Digital Logic Discrete, Event-Based 5 2017 Cadence Design Systems, Inc. All rights reserved. Model what you need, not what you can Clearly define model limitations Top-down approach Generate models during architecture phase Verify specifications early in the design cycle Allow designers to compare their functionality early with expected results Swap more detailed models as they become available Bottom-up approach Requires schematics to be ready Recognize modeling as discipline/job Share information across groups Pin list (type, allowed values, relations) Modeling engineer analog designer Analog SoC handoff Automate as much as possible

SystemVerilog (SV) Standardization for Mixed-Signal Designs Purpose Address needs for efficient, mixed-signal verification solution, in collaboration with industry experts by create a solid foundation of SV-AMS semantics in the standard SV-DC (RNM part of IEEE 1800 SystemVerilog standard) First SV-DC (RNM) version released in 2012, next major update targeted for release in 2019 SV-AMS Intended to be a part of IEEE 1800.1 standard To be based on core technology of proposed IEEE 1800 SystemVerilog 2019 standard With proposed extensions for RNM, SV-AMS is expected to be rolled out in 2020/2021 6 2017 Cadence Design Systems, Inc. All rights reserved.

Uniting AMS IP and MS SoC Verification AMS IP Verification MS SoC Verification Use SoC testbench to validate AMS IP in the same context SV UVM bench Provide IP in a form easy to integrate in SoC verification 7 2017 Cadence Design Systems, Inc. All rights reserved.

Low-Power Mixed-Signal Simulation Support in AMS Signal path: Low-power intent is correctly interpreted between digital and analog driver/receiver Pwr VDD2 VDD1 SW D SW A Low-Power-Aware Signal Path Supply path: Low-power connection is correctly established, and both analog and digital power supplier/consumer are connected VSS VDDA2 VDDA1 VSSA SW A SW D Low-Power-Aware Supply Connection 8 2017 Cadence Design Systems, Inc. All rights reserved.

Mixed-Signal Low-Power Simulation Typical types of supply-path configuration VDD2 VDD1 SW Connection Power Supply Network Type 1 (Top-level behavioral simulation) Type 2 (Traditional low-power simulation) Type 3 (CPF/1801 on top entire design) Type 4 (Mixedsignal supply connection) Digital Power Digital Design Block Digital Power Supply CPF/1801 CPF/1801 CPF/1801 Electrical Connection CPF/1801 CPF/1801 CPF/1801 CPF/1801 VSS Design Block Logic Logic Logic Logic VDDA2 VDDA1 SW Connection Analog Power Supply Behavior Electrical CPF/1801 Electrical Connection Behavior Electrical CPF/1801 CPF/1801 Analog Power VSSA Analog Design Block Design Block Behavior Electrical Electrical Electrical 9 2017 Cadence Design Systems, Inc. All rights reserved.

I n t e g r a t i o n a n d A u t o m a t i o n Cadence Mixed-Signal Verification Solution Bridging the gap, addressing complexity TB Development Sim. Management (Virtuoso Analog Design Environment) Analog Modeling (SMG) Multi-Mode Simulation (MMSim) A/D Co-Simulation (Virtuoso AMS Designer) Transistor Simulation (Spectre ) FastSPICE (Spectre XPS) Metric-Driven Verification Methodology UVM Mixed Signal PSL / SVA Assertion Functional Coverage (Incisive vmanager) RNM Simulation Multi-Language Simulation (Incisive /Xcelium ) Logic Simulation (Incisive/Xcelium) Emulation (Palladium Z1) A b s t r a c t i o n L e v e l Analog High Accuracy Digital High Simulation Throughput Virtuoso Analog Design Environment (ADE) Verifier Integration with Incisive vmanager Solution UVM Mixed-Signal Analog and MS Assertions Extensive RNM Support MS / LP Simulation Continuous Performance Improvement in Spectre and Incisive/Xcelium Planning Metrics Modeling Simulation 10 2017 Cadence Design Systems, Inc. All rights reserved.

IOMSLPT for Verification Engineers Summary IOT products are dependent on efficient mixed-signal and low power functionality Transistor level + direct test are necessary but insufficient verification approaches Call to action Learn about SystemVerilog RNM Establish a MDV for mixed-signal and low power Verify deeply and efficiently!! 11 2017 Cadence Design Systems, Inc. All rights reserved.

2017 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at www.cadence.com/go/trademarks are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks are the property of their respective owners.