Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Similar documents
Chapter 5: ASICs Vs. PLDs

FABRICATION TECHNOLOGIES

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

An Overview of Standard Cell Based Digital VLSI Design

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

An overview of standard cell based digital VLSI design

Synopsys Design Platform

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

TSBCD025 High Voltage 0.25 mm BCDMOS

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

More Course Information

Concurrent, OA-based Mixed-signal Implementation

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Design Methodologies and Tools. Full-Custom Design

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Overview of Digital Design Methodologies

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Physical IP Solutions

ASIC, Customer-Owned Tooling, and Processor Design

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

System on Chip (SoC) Design

IO & ESD protection 1.8V & 3.3V capable general purpose digital IO pad based on 1.8V devices for TSMC 28nm CMOS technology

Design Methodologies. Full-Custom Design

A Test-Centric Approach to ASIC Development for MEMS

UNIVERSITY OF WATERLOO

Mixed-Signal. From ICs to Systems. Mixed-Signal solutions from Aeroflex Colorado Springs. Standard products. Custom ASICs. Mixed-Signal modules

Common Platform Ecosystem Enablement

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

ASIC Physical Design Top-Level Chip Layout

Xilinx SSI Technology Concept to Silicon Development Overview

N E W S R E L E A S E

High Performance Mixed-Signal Solutions from Aeroflex

SoCtronics Corporate Overview Industry s 1st Design FoundryTM

Spiral 2-8. Cell Layout

Logic Synthesis. Logic Synthesis. Gate-Level Optimization. Logic Synthesis Flow. Logic Synthesis. = Translation+ Optimization+ Mapping

Virtuoso Layout Suite XL

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL SANDBOX WORKSHOP Summer Digital Sandbox Mission

DATASHEET VIRTUOSO LAYOUT SUITE GXL

The Fujitsu ASIC Platform:

So you think developing an SoC needs to be complex or expensive? Think again

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

AMS DESIGN METHODOLOGY

The Design of the KiloCore Chip

TABLE OF CONTENTS III. Section 1. Executive Summary

VLSI Design Automation

EECS 244 Computer-Aided Design of Integrated Circuits and Systems

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Evolution of CAD Tools & Verilog HDL Definition

101-1 Under-Graduate Project Digital IC Design Flow

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

TIRIAS RESEARCH. Lowering Barriers to Entry for ASICs. Why ASICs? Silicon Business Models

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Synthesis and APR Tools Tutorial

EE 330 Laboratory Experiment Number 11

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Laker 3 Custom Design Tools

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

technology Leadership

Digital Design Methodology (Revisited) Design Methodology: Big Picture

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Digital Design Methodology

Comprehensive Place-and-Route Platform Olympus-SoC

Application Platforms and Synthesizable Analog IP Peter Santos Vice President, Marketing & Business Development, Barcelona.

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

An Introduction to Programmable Logic

ECE260B CSE241A Winter Tapeout. Website:

VLSI Design Automation

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

E 4.20 Introduction to Digital Integrated Circuit Design

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Conference paper ESD Design Challenges in nano-cmos SoC Design

CMP Model Application in RC and Timing Extraction Flow

Technologies and Tools for µe design

Expert Layout Editor. Technical Description

DesignWare IP for IoT SoC Designs

FPGA Based Digital Design Using Verilog HDL

ProASIC PLUS FPGA Family

Outline. SoC Encounter Flow. Typical Backend Design Flow. Digital IC-Project and Verification. Place and Route. Backend ASIC Design flow

Current status of SOI / MPU and ASIC development for space

EECS 627, Lab Assignment 3

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Laker Custom Layout Automation System

Testable SOC Design. Sungho Kang

Design and Technology Trends

Conservation Cores: Reducing the Energy of Mature Computations

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

Agile Hardware Design: Building Chips with Small Teams

Mixed-Signal&RF -0.18μm. 0.18um Process Feature. 0.18um Process Device Feature. 0.18um Process Key Design Rule. Isolation

Adding SRAMs to Your Accelerator

Transcription:

Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies

Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered Semiconductor Manufacturing, Singapore

Design Solutions in Foundry Environment 1. Introduction 2. Custom design flows overview 3. Foundry design access 4. Foundry design solutions: - Standard cells - IO - Technology files and PDK - Memory - IP initiatives 5. New world of custom IC products 6. Summary

Introduction Access to foundry leading edge processes has become a common practice for fabless companies and IDMs looking to leverage their capacity. Foundries do not offer a process solution alone, but the complete product, consisting of: 1. Process with multiple variants. 2. Design access. 3. Turn key services (i.e. test, assembly, drop ship, etc.) The use of design solutions through foundries is increasing: 25% of 0.25 um tapeouts at the leading foundry use provided libraries. 60% of 0.18 um tapeouts at the leading foundry use provided libraries. 85% of 0.13 um tapeouts at the leading foundry use provided libraries.

Foundry Design Access Model GDS II Tapeout Foundry Verified in Silicon Foundry Technology

Generic Custom Digital Design Flow

Example of Standard Cell Design Flow.sdb.lib.sdf.vhd

New Challenges in IC Design Modern challenges in advanced semiconductor product designs can be divided into three broad areas: 1. Challenges in traditional design hierarchy (such as logic design, layout design) due to increased product functionality and SoC integration. => New EDA tools, technique, and methodology. (This is expected to lead to further consolidation among EDA and design IP vendors). 2. Challenges related to shrinking technology: new materials, exponentially increasing leakages currents, increasingly complex interconnect structures, design related yield loss. => The merger of design and manufacturing process development => Further development of Design For Yield (DFY). 3. Challenges related to IP acquisition and management; mass production knowhow. => New emerging IP industry. => Technology research and manufacturing constellations (between major foundries and IDMs)

Access to Complete Design Solutions Various design solutions are available through foundries Design Tools Library Solution IP Solution Design Service Turnkey UNIVE

Design Solutions: Foundry Pyramid Product Applications SYSTEM IP ACCESS USB ARM Cores SSTL LVDS MIPS Cores VCX Program BASELINE DESIGN ACCESS PLUG-IN PROCESSES Low Power Library PDK RFCMOS Module High Performance Std Cells & Baseline I/O s Analog Module Derivative Vt Libraries Memory Compilers 1T-SRAM SRAM Module BASELINE PROCESSES Process Design Rules Silicon SPICE & Interconnect Models Reference Bit-cells ESD Reference Structures

Design Access Considerations Due to complexity of the problem and long term design engagements, serious considerations have to be given to access design solutions from a foundry. Next we will examine some of the major aspects of design IP access which needs to be analyzed and reviewed prior to foundry engagement.

Design Access Considerations: IP Offering 1. Key points to consider in EDA IP offerings from foundry: Foundry s EDA partner selection IP suitability for current and future design projects Schedule of IP availability Cost model of EDA IP access (cost of acquisition, NRE and royalty structure) Portability of library and IP solution (open model vs. captive model) IP deliverables to customer IP selection: libraries for process variants, special digital IP blocks (i.e. IOs, ARM, etc.), analog IP.

Design Access Considerations: IP verification 2. EDA IP verification and characterization methodology: Foundry methodology to validate EDA libraries, compilers, etc. - resources: expertise and tools - silicon verified vs silicon hardened Process/SPICE changes: - approval of changes in regards to EDA IP - EDA IP characterization: time, schedule (before the changes or after) - foundry s flexibility for existing and on-going designs

Design Access Considerations: Design Support 3. Design support from foundry: Local expertise in different IP Foundry resources: - engineers vs project managers - design tools used at foundry Foundry support model Design services

Design Access Considerations: Final 4. IP Tapeout support: Procedures for black box models (i.e. ARM) IP tagging for royalty payments (i.e. specialized vs industry standard VSIA) Conclusion: Selection of design IP from foundry makes a significant difference in the long term success of foundry engagement. The key elements discussed above need to be considered.

Foundry Design Solutions Libraries Technology Files and PDK Memory IP Initiatives

Foundry Design Solutions Libraries Technology Files and PDK Memory IP Initiatives

Foundry EDA Library Components EDA library design solutions provided by major foundries usually consist of: 1. Standard Cell Libraries; 2. IO libraries; 3. Memory compilers and embedded special memories such as embedded 1TSRAM, E 2 PROM, OTPROM, etc. Each part of library solution has its unique challenges and requires special considerations.

Standard Cell Libraries: Architecture Cell height optimized for each process technology, driven by Back-end process Transistor performance (i.e. I Dsat, I OFF, V t, G m, etc.) Cell height is consistent across a library, however a library can have double height cells. Cell dimensions are reported in terms of routing grids or tracks i.e. two-input NAND gate is typically 3 tracks wide and 8-10 tracks tall depending on the technology Cells are designed to share power & ground rails - Flip and Abut Input/Output pins can be staggered to improve router effectiveness. Cells designed to be Tiled together.

Typical Third Party Standard Cell Library Design Kit Standard cell libraries from major IP providers typically include: Front End Views Behavioral models Simulation timing models: Cadence NC-Verilog, NC-VHDL,Verilog-XL Synopsys synthesis models Design Compiler, Module Compiler, Physical Compiler, Power Compiler Synopsys PrimeTime Static Timing Models Floorplan abstract Back End Views Abstract Files for Place & Route Tools CDL Netlists for LVS Verification Physical (Layout) Files for Streaming Out GDSII Database Documentation: data-books, application notes

IO Library: Important Parameters Key parameters of IO libraries: Pad Structure: In-line pads for core limited designs: wide and short Staggered pads for pad limited designs: narrow and tall IO cell height Pad pitch and pad opening must be designed to meet particular assembly capabilities Separate I/O power rail Accommodate I/O drive voltage requirement Isolate Noisy I/O power from core power Simultaneous Switching Outputs - SSO Guideline for the number of power pin pair requirements

Open Model Library Access: Chartered Semiconductor Source: Chartered Semiconductor Manufacturing web site (http://www.charteredsemi.com/design/library_support.asp)

0.13µm Library Offerings Source: Chartered Semiconductor Manufacturing web site (http://www.charteredsemi.com/design/library_support.asp)

Design Solutions Libraries Technology Files and PDK Memory IP Initiatives

What is a Foundry Process Design Kit (PDK)? A PDK is a complete set of building blocks, generated from foundry s technology files, that enables customers to create a custom IC design. PDK is most commonly implemented in Cadence design environment format. It must be aligned and verified with foundry s process technology. Spice Models DRC Runset PCells LVS Runset Parasitic Extraction PDK is the equivalent of a Standard Cell Library for digital design, providing an off the shelf, easy to install design environment tuned to a specific process technology.

Foundry-supported EDA Tech Files, Models & Tools EDA support form foundries covers all major aspect of the design flow and all major EDA tools. Source: TSMC web site (http://www.tsmc.com/download/enliterature/ eda_bro_2003.pdf)

PDK Example: Cadence / Chartered PDK Consistent with foundry technologies capabilities Customers are able to design, simulate, layout, extract, verify & tape-out from transistor level upwards. Source: Chartered Semiconductor Manufacturing web site (http://www.charteredsemi.com/design/pdks.asp)

Foundry PDK Foundry provided PDKs have to be used with caution and full understanding of their advantages, limitations and tradeoffs, as compared to in-house developed PDK. Panelists cite shortcomings of process design kits By Ron Wilson, EE Times, Mar 23, 2004 (http://www.eedesign.com/showarticle.jhtml?articleid=18401470) Key points: Change of process may not be reflected in PDK. With the growing complexity and rate of change in processes, IP vendors will be unable to keep their IP current with the process... "It's very likely that the IP you use will have been developed with a different PDK from the one you are using. That will be trouble. - Dan Hillman, VP Virtual Silicon PDK revision control.

Design Solutions Libraries Technology Files and PDK Memory IP Initiatives

EDA Library: Memories Memory Compilers -- Software Packages to build User Defined Memory Blocks Type of memory compilers typically offered as part of foundry EDA library: Single Port Sync SRAM 6 Transistor bit cell architecture Read/Write from a single port Dual Port Sync SRAM 8 Transistor bit cell architecture Two fully independent read/write ports, each with its own clock 2 Port SRAM 8 Transistor Bit Cell Architecture Most Common One port used for read, one port used for write ROM Diffusion (smaller) Via ROM (more flexible) Register File alternative to embedded SRAM Single port and Dual port

Compiled SRAM: Example Typical Compiled embedded SRAM Architecture A lot of memory overhead is part of generated SRAM. Compiled register file may be a good alternative to small SRAM.

Design Solutions Libraries Technology Files and PDK Memory IP Initiatives

Available Foundry IP Initiatives Foundry UNIVE and many many others

Benefits for Customers Foundry supported IP alliances program allows: Compare and select from various third-party IP solutions Silicon hardened and proven at various foundries IP is listed against industry standard specifications, e.g. VSIA (Virtual Socket Integration Assoc. ) IP provider states level of validation and specific silicon processes in which it has been hardened Customers have access to more silicon hardened IP, reducing risk and exposure IP flexibility and ownership are understood prior to engagement

New Economical Realities for Custom IC

New World of Custom IC Product Design Cost of new ASIC designs increase with every technology generation: Design cost for technology Total design cost ($M) Relative die area 1.0 10 9 Relative die area 0.9 0.8 0.7 0.85 0.73 8 7 6 5 4 3 Design cost, $M 2 0.6 0.18 0.17 0.16 0.15 0.14 0.13 0.12 0.11 0.1 0.09 1 Technology, um Average design cost: EDA/COT tools, design engineering, mask set, prototyping, test.

New World of Custom IC Product Design The business dynamics of custom IC products changed: ASIC LOW COST Market response: - High volume ASIC applications (i.e. consumer electronics) - High performance ASIC applications (at premium cost) - ASSP - New technologies such as FPGA core with standard cell ASIC: for example, from IBM/Xilinx, reconfigurable ASIC core from LSI, etc.

ASIC vs ASSP The gap between ASIC and ASSP is projected to widen Custom IC Device market forecast (in $B) (all categories) 43% TAM growth over 4 years 50 49.1 40 40.1 46.3 ASIC ASSP TAM, $B 30 20 28.1 19.8 32.5 22.5 26.8 29.9 31.2 35% TAM growth over 4 years 10 2002 2003 2004 2005 2006 year Source: Gartner Research Semiconductor Forecast, 2003

ASIC and ASSP Growth Areas Growth areas for ASIC and ASSP in communication sector Communications Electronics: Other LAN (ASIC) ASIC Growth Areas ASSP Growth Areas Communications Electronics: Other LAN (ASSP) Communications Electronics: Routers - Enterprise (ASIC) Communications Electronics: LAN Switches (ASIC) Data Processing Electronics: Storage Network Infrastructure (ASIC) Communications Electronics: SONET/SDH (ASIC) Communications Electronics: Routers - Service Provider (ASIC) Communications Electronics: Enterprise WAN (ASIC) TAM, $M 2200 2000 1800 1600 1400 1200 1000 800 600 400 200 0 2003 2004 2005 2006 year TAM, $M 2200 2000 1800 1600 1400 1200 1000 800 600 400 200 0 2003 2004 2005 2006 year Communications Electronics: Routers - Enterprise (ASSP) Communications Electronics: LAN Switches (ASSP) Communications Electronics: SONET/SDH (ASSP) Data Processing Electronics: Storage Network Infrastructure (ASSP) Communications Electronics: Enterprise WAN (ASSP) Communications Electronics: Routers - Service Provider (ASSP) ASIC top 3 growth areas: - LAN (switches and access) - Enterprise routers - Storage network infrastructure TAM = $1.4 billion in 2003 TAM = $2.8 billion in 2005 ASSP top 3 growth areas: - LAN (switches and access) - Enterprise routers - SONET/SDH TAM = $2.1 billion in 2003 TAM = $4.2 billion in 2005 Source: Gartner Research Semiconductor Forecast, 2002

Summary Foundries offer complete design access in addition to process, tech files, and libraries Foundries are becoming active participants in design process. Foundries EDA IP engagements need to be carefully evaluated against current and future design needs Design IP access model needs to be taken into considerations for a long term foundry engagement. Cost of custom designs is increasing rapidly for more advanced technologies. First time TO success is crucial for success => extensive and accurate simulation, virtual prototyping, design for yield.