Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Similar documents
Evolution of UPF: Getting Better All the Time

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF

Refining Successive Refinement. Desinghu PS, Adnan Khan - ARM Ltd UK Erich Marschner, Gabriel Chidolue Mentor Graphics

Power Aware Models: Overcoming barriers in Power Aware Simulation

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Challenges with Power Aware Simulation and Verification Methodologies

Next-generation Power Aware CDC Verification What have we learned?

PA GLS: The Power Aware Gate-level Simulation

New Challenges in Verification of Mixed-Signal IP and SoC Design

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Low-power Design with the New IEEE Standard

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Using UPF for Low Power Design and Verification

Low Power Emulation for Power Intensive Designs

Comprehensive Place-and-Route Platform Olympus-SoC

INTERNATIONAL STANDARD

Leakage Mitigation Techniques in Smartphone SoCs

Multi-Domain Verification: When Clock, Power and Reset Domains Collide

Is Power State Table Golden?

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Free Yourself from the Tyranny of Power State Tables with Incrementally Refinable UPF

RTL Coding General Concepts

ASIC world. Start Specification Design Verification Layout Validation Finish

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Power Format Comparison Report out

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Artifacts of Custom Checkers in Questa Power Aware Dynamic Simulation

Mixed Signal Verification Transistor to SoC

Verifying a low power design

New Advancements in CPF 2.0 and the Path to Interoperability

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Low-Power Technology for Image-Processing LSIs

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Cadence Technical Analysis of System Verilog DECEMBER 2002

Power Aware Libraries: Standardization and Requirements for Questa Power Aware

Welcome to the DVCon 2015 issue of Verification Horizons By Tom Fitzpatrick, Editor and Verification Technologist

Low Power Methodology Manual For System-on-Chip Design

Chapter 5: ASICs Vs. PLDs

Synopsys Design Platform

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

Accelerating CDC Verification Closure on Gate-Level Designs

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

ISE Design Suite Software Manuals and Help

High-Level Information Interface

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Using UPF for Low Power Design and Verification

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8D-3. Experiences of Low Power Design Implementation and Verification. Shi-Hao Chen. Jiing-Yuan Lin

Concurrent, OA-based Mixed-signal Implementation

101-1 Under-Graduate Project Digital IC Design Flow

Digital Systems Testing

Testable SOC Design. Sungho Kang

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

Leveraging Formal Verification Throughout the Entire Design Cycle

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

An introduction to CoCentric

Bibliography. Measuring Software Reuse, Jeffrey S. Poulin, Addison-Wesley, Practical Software Reuse, Donald J. Reifer, Wiley, 1997.

ACCELERATING DO-254 VERIFICATION

PrimeTime: Introduction to Static Timing Analysis Workshop

SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update. Andy Goodrich, Cadence Design Systems

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

FishTail: The Formal Generation, Verification and Management of Golden Timing Constraints

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

High Quality, Low Cost Test

Overview of Digital Design with Verilog HDL 1

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!

Accellera Systems Initiative UVM WG Status

Lecture 11 Logic Synthesis, Part 2

System-on-Chip Design for Wireless Communications

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

IOT is IOMSLPT for Verification Engineers

Compiler User Guide. Intel Quartus Prime Pro Edition. Updated for Intel Quartus Prime Design Suite: Subscribe Send Feedback

Laboratory 6. - Using Encounter for Automatic Place and Route. By Mulong Li, 2013

Sunburst Design - Verilog-2001 Design & Best Coding Practices by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

Taking Advantage of SystemVerilog for Design with ModelSim

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Retention based low power DV challenges in DDR Systems

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

THE NEW ERA ON LOW POWER DESIGN AND VERIFICATION METHODOLOGY

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

SystemC Synthesis Standard: Which Topics for Next Round? Frederic Doucet Qualcomm Atheros, Inc

FPGAs: Instant Access

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

18. Synopsys Formality Support

Intel Quartus Prime Pro Edition User Guide

Choosing an Intellectual Property Core

Maximizing Logic Utilization in ex, SX, and SX-A FPGA Devices Using CC Macros

Navigating the RTL to System Continuum

DVCon India 2016 Abstract submission template. Taking UVM to wider user base the open-source way Name: Nagasundaram Thillaivasagam

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Evolution of CAD Tools & Verilog HDL Definition

Transcription:

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification Amit Srivastava Madhur Bhargava

Agenda Introduction Power Aware Verification Unified Power Format Evolution of UPF Why UPF 2.1 Verification Challenges General Migration Tips Conclusion

Electronic Devices have become Complex Energy Aware Require sophisticated Power Management Power Gating Multi Voltage Biasing DVFS Advanced Power Aware Verification To ensure functional correctness Introduction

Verifying Power Management is even more Complex!! Many strategies used in conjunction Power gating, multi voltage, etc. Power management structures interact Affect design functionality More scenarios to verify Power Modes, Complex protocols Various stages of the design flow RTL, Gate Level, Place & Route Complete knowledge about power management Power Architecture, Power Cell behavior HDLs are not equipped to provide such information Power Intent Specification formats

Unified Power Format (UPF) IEEE Standard for expressing Power Intent To define power management To minimize power consumption Especially static leakage Enables early verification of power intent An Evolving Standard Accellera UPF in 2007 (1.0) IEEE 1801-2009 UPF (2.0) IEEE 1801-2013 UPF (2.1) Based upon Tcl Tcl syntax and semantics Can be mixed with non-upf Tcl And HDLs SystemVerilog, Verilog, VHDL For Verification Simulation or Emulation Static/Formal Verification And for Implementation Synthesis, DFT, P&R, etc.

Evolution of UPF UPF 1.0 was defined by Accellera Focused on adding power intent to HDL Relatively simple concepts and commands UPF 2.0 approved in March 2009 Backward compatible with UPF 1.0 Supports IP development, refinement 2007 2008 2009 2010 2011 2012 2013 2014 Feb 22 Mar 9 Mar 6 UPF 1.0 UPF 2.0 UPF 2.1 UPF 2.0, 2.1 were defined by IEEE Building on UPF 1.0 concepts Adding new abstractions, flow support UPF 2.1 approved in March 2013 Clarifies and enhances UPF 2.0 features Adds a few new capabilities

Why UPF 2.1 UPF 2.0 has some limitations Inability to capture complex scenarios Missing information Gap in Power Aware Verification Unclear and inconsistent concepts Different interpretations Non-portable UPF 2.1 to the rescue! New features to address limitations of UPF 2.0 Provides more clear and consistent semantics Promotes interoperability

Verification Challenge: Repeater Insertion ON OFF ON X 1 X PD_Src PD_Mid PD_Snk Repeaters are inserted at long boundary crossings May use incorrect supplies Result in a functional bug Need to guide tools to use proper supplies Same information can be used by verification tools UPF 2.0 provides some capability Incomplete and lacked proper semantics Use proprietary commands to achieve the desired behavior

UPF 2.1 Solution: Repeater Insertion 1 1 PD_Src PD_Mid PD_Snk UPF 2.1 provides a new strategy command set_repeater Similar to other strategy commands Well defined semantics More flexible Enables verification at RTL stage create_power_domain PD_Src \ supply {primary aon_ss} create_power_domain PD_Mid \ supply {primary sw_ss} create_power_domain PD_Snk \ supply {primary aon_ss} set_repeater rep_sw \ domain PD_Mid \ repeater_supply_set \ PD_Src.primary \ source PD_Src.primary \ -sink PD_Snk.primary

Verification Challenge : Retention Cells Master Slave Alive Retention Flop Master Live Slave (Always ON) Master/Slave-alive retention flops Value is retained in always on master/slave latch Occupies lesser area than balloon style retention No additional controls UPF 2.0 didn t model them Verification was dependent on proprietary implementation

UPF 2.1 Solution: Retention Cells Master Slave Alive Retention Flop Master Live Slave (Always ON) set_retention ret_ms \ -domain pd \ -retention_condition { ret } UPF 2.1 extended retention to master/slave alive cells Well defined semantics to enable early verification Use set_retention without save/restore_signal

create_power_domain pd_softip \ -include_scope load_upf soft_ip.upf \ -scope softipinst #... Integrate the softip... create_power_domain pd_other \ -elements { softipinst/child } Verification Challenge: Soft IP Constraints Valid UPF Usage Potentially Dangerous SoC Soft_IP IP providers define constraints related to power management Powering of regions within IP Clamping constraints Retention constraints Power States IP integrator has to ensure that constraints are not violated UPF 2.0 can model the constraints, but has a limitation

create_power_domain pd_softip \ -include_scope atomic \ load_upf soft_ip.upf \ -scope softipinst #... Integrate the softip... create_power_domain pd_other \ -elements { softipinst/child } UPF 2.1 Solution: Soft IP Constraints In-valid for atomic PD Causes Error SoC Soft_IP Defines Atomic Power Domains create_power_domain atomic Cannot remove elements from Atomic power domain Verification tools can flag error if atomic property is lost during integration

Verification Challenge: Hard Macro Boundary Isolation/level shifters need to be placed at the boundary of Hard Macros UPF 2.0 requires explicit domain boundary Hard Macro SoC No Isolation Require PD Boundary Need to be careful of redundant isolation Hard Macro Need Isolation Large number of such instances increases the verification complexity Powered by different supply

UPF 2.1 Solution: Hard Macro Boundary Automatically considers hard macro boundary pin powered by different supply as a boundary Avoids creation of explicit power domains Hard Macro Hard Macro SoC considered as boundary

Verification Challenge: Supply Equivalence Iso/LS cells depend on source/sink supplies Strategy commands use supply sets as source/sink filters requires supply matching UPF 2.0 does not define semantics for supply matching Inconsistent interpretation between tools SRC_PD Iso PD1 primary: ss1 PD2 primary: ss2 PD3 primary: ss3 # ss1 and ss2 are equivalent create_supply_set ss1 -function { power vdd1 } -function { ground vss } create_supply_set ss2 -function { power vdd1 } -function { ground vss } create_supply_set ss3 -function { power vdd2 } -function { ground vss } create_power_domain pd1 -supply {primary ss1} create_power_domain pd2 -supply {primary ss2} create_power_domain pd3 -supply {primary ss3} set_isolation iso \ -sink ss1 \ #... Other options...

UPF 2.1 Solution: Supply Equivalence UPF 2.1 defines rules for matching of supplies Concept of Supply Equivalence defined New command set_equivalent Explicitly state the supply equivalence incase it is not evident in design Default to match equivalent supplies When matching to be done only for identical supplies, use option - use_equivalence to be FALSE Iso PD1 primary: ss1 create_supply_set ss1 create_supply_set ss2 create_supply_set ss3 set_equivalent -sets { ss1 ss2 } SRC_PD Iso PD2 primary: ss2 PD3 primary: ss2 create_power_domain pd1 -supply {primary ss1} create_power_domain pd2 -supply {primary ss2} create_power_domain pd3 -supply {primary ss3} set_isolation iso \ -sink ss1 \ #... Other options...

Verification Challenge: Strategy interactions A complex system may have hundreds of strategies Many strategies may interact Relative placement of power management cells How insertion of one strategy cells affects placement subsequent cells UPF 2.0 was unclear about strategy interactions Problem of interoperability Different tools have interpreted this in different ways pd_tx (sw_ss) TX iso_tx pd_sw (sw_ss) MID Aon buf redundant iso_rx pd_rx (always_on_ss) RX create_power_domain pd_tx elements {tx} \ supply {primary sw_ss} create_power_domain pd_sw elements {mid} \ supply {primary sw_ss} create_power_domain pd_rx elements {rx} \ supply {primary always_on_ss} set_isolation iso_tx domain pd_tx \ sink pd_rx.primary \ applies_to outputs set_isolation iso_rx domain pd_rx \ source pd_tx.primary \ applies_to inputs set_port_attributes domain pd_sw \ applies_to outputs \ repeater_supply always_on_ss

UPF 2.1 Solution: Strategy interactions UPF 2.1 defines clear semantics for strategy interaction UPF 2.1 defines order of strategy implementation Retention > Repeater > Isolation > Level Shifter A strategy may affect the -source/sink filters of a subsequent applied strategy Consistent behavior across tools pd_tx (sw_ss) TX iso_tx pd_sw (sw_ss) MID Aon buf pd_rx (always_on_ss) RX create_power_domain pd_tx elements {TX} \ supply {primary sw_ss} create_power_domain pd_sw elements {MID} \ supply {primary sw_ss} create_power_domain pd_rx elements {RX} \ supply {primary always_on_ss} set_isolation iso_tx domain pd_tx \ sink pd_rx.primary \ applies_to outputs set_isolation iso_rx domain pd_rx \ source pd_tx.primary \ applies_to inputs set_repeater domain pd_sw \ source pd_tx.primary sink pd_rx.primary \ repeater_supply always_on_ss

And many more UPF 2.1 features Some more additions/extensions Power cell modeling Hard Macro modeling Supply constraints Some deprecations/restrictions Supply set functions Supply nets for strategies More clarifications Supply sets Refer to full paper for Exhaustive List

General Migration Tips Need to translate proprietary commands to new UPF 2.1 commands Be aware of deprecations and avoid using them in new UPF code Refer to UPF 2.1 lrm for details Careful about the syntax changes and migrate towards new syntax Refer to Table 2 in the Appendix section of the paper Understand the semantics differences and update UPF code to honor the updated semantics Refer to the Verification challenges and Table 1 in the Appendix Be aware of restrictions added in the standard and avoid using styles that violate the restrictions Use proper methodology for achieving verification success and interoperability

Conclusion Power Aware Verification has become complex Lots of challenges to verify power management Limitations in UPF 2.0 and 1.0 has started to limit power aware verification UPF 2.1 has taken leaps to ease the verification burden New additions to fill the gap Clarification of many concepts Some deprecations/restrictions to simply concepts A more powerful and finely tuned standard

THANK YOU Questions??