Field Programmable Gate Array

Similar documents
Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Programmable Logic. Any other approaches?

Topics. Midterm Finish Chapter 7

INTRODUCTION TO FPGA ARCHITECTURE

VHDL Examples Mohamed Zaky

Introduction to Field Programmable Gate Arrays

(ii) Simplify and implement the following SOP function using NOR gates:

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Outline. EECS Components and Design Techniques for Digital Systems. Lec 11 Putting it all together Where are we now?

ACS College of Engineering. Department of Biomedical Engineering. Logic Design Lab pre lab questions ( ) Cycle-1

CCE 3202 Advanced Digital System Design

ELCT 501: Digital System Design

Field Programmable Gate Array (FPGA)

Introduction to VHDL Design on Quartus II and DE2 Board

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

IT T35 Digital system desigm y - ii /s - iii

Sequential Logic - Module 5

Hardware Synthesis. References

DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL

Laboratory Exercise 3

ECE 545 Lecture 12. FPGA Resources. George Mason University

Hardware Description Language VHDL (1) Introduction

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Sequential Statement

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Control and Datapath 8

Lecture 12 VHDL Synthesis

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Digital Design with FPGAs. By Neeraj Kulkarni

Luleå University of Technology Kurskod SMD098 Datum Skrivtid

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

FPGAs: FAST TRACK TO DSP

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Experiment 8 Introduction to VHDL

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

LABORATORY MANUAL VLSI DESIGN LAB EE-330-F

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

ECE 448 Lecture 13. FPGA Memories. George Mason University

Timing in synchronous systems

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

Programmable Logic. Simple Programmable Logic Devices

ECE 699: Lecture 9. Programmable Logic Memories

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

CCE 3202 Advanced Digital System Design

Inferring Storage Elements

ECEU530. Project Presentations. ECE U530 Digital Hardware Synthesis. Rest of Semester. Memory Structures

VHDL 2 Combinational Logic Circuits. Reference: Roth/John Text: Chapter 2

APPLICATION NOTE. A CPLD VHDL Introduction. Introduction. Overview. Entity. XAPP 105 January12, 1998 (Version 1.0) 0 4* Application Note

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

The Virtex FPGA and Introduction to design techniques

Summary of FPGA & VHDL

4DM4 Lab. #1 A: Introduction to VHDL and FPGAs B: An Unbuffered Crossbar Switch (posted Thursday, Sept 19, 2013)

Topics. Midterm Finish Chapter 7

Digital Integrated Circuits

EECS150, Fall 2004, Midterm 1, Prof. Culler. Problem 1 (15 points) 1.a. Circle the gate-level circuits that DO NOT implement a Boolean AND function.

PINE TRAINING ACADEMY

3 Designing Digital Systems with Algorithmic State Machine Charts

HDL Coding Style Xilinx, Inc. All Rights Reserved

EEE8076. Reconfigurable Hardware Design (coursework) Module Outline. Dr A. Bystrov Dr. E.G. Chester. Autumn

ECE 545: Lecture 11. Programmable Logic Memories

FPGA.

AL8253 Core Application Note

ECE 545: Lecture 11. Programmable Logic Memories. Recommended reading. Memory Types. Memory Types. Memory Types specific to Xilinx FPGAs

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\

FPGA Based Digital Design Using Verilog HDL

VHDL And Synthesis Review

Field Programmable Gate Arrays (FPGAs)

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a

Senior Project Design Review: Internal Hardware Design of a Microcontroller in VLSI

Field Program mable Gate Arrays

Digital Design with SystemVerilog

ASIC Products Application Note

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

CMPT 250: Computer Architecture. Using LogicWorks 5. Tutorial Part 1. Somsubhra Sharangi

FPGA Design Flow 1. All About FPGA

VHDL: Modeling RAM and Register Files. Textbook Chapters: 6.6.1, 8.7, 8.8, 9.5.2, 11.2

! Program logic functions, interconnect using SRAM. ! Advantages: ! Re-programmable; ! dynamically reconfigurable; ! uses standard processes.

Design Progression With VHDL Helps Accelerate The Digital System Designs

CprE 583 Reconfigurable Computing

Lab 6 : Introduction to Verilog

FPGA design with National Instuments

VHDL for Modeling - Module 10

CPE 626 Advanced VLSI Design Lecture 6: VHDL Synthesis. Register File: An Example. Register File: An Example (cont d) Aleksandar Milenkovic

SUBJECT CODE: IT T35 DIGITAL SYSTEM DESIGN YEAR / SEM : 2 / 3

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements

Reconfigurable Hardware Design (coursework)

ECE 545 Lecture 17 RAM. George Mason University

Altera FLEX 8000 Block Diagram

FPGAs in a Nutshell - Introduction to Embedded Systems-

C-Based Hardware Design

Sign here to give permission for your test to be returned in class, where others might see your score:

FSM Components. FSM Description. HDL Coding Methods. Chapter 7: HDL Coding Techniques

Chip Design with FPGA Design Tools

Transcription:

Field Programmable Gate Array System Arch 27 (Fire Tom Wada)

What is FPGA? System Arch 27 (Fire Tom Wada) 2

FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational logics Flip Flops Macro components Multiplier ( large combinational logic) Random Access Memory (Large Density) Read Only memory (Large Density) CPU Programmable Interconnection Programmable Input/Output circuit Programmable Clock Generator System Arch 27 (Fire Tom Wada) 3

What is Combinational Logic? A B C D CL f g A, B, C, D, f, g are all binary signal. If output f, g are function of only inputs (A, B, C, D) then the circuit is combinational circuit. In another word, output signal is determined by only the combination of input signals. f = func(a, B, C, D) g = func2(a, B, C, D) Combinational logic does NOT include memories such as Flip-Flops. Combinational logic can be constructed by just primitive gates such as NOT, NAND, NOR, etc. (But no feedback loop) System Arch 27 (Fire Tom Wada) 4

Combinational Logic realization - gates - There is no signal loop in the circuit. In combinational logic, signal loop is prohibited since the loop makes states (Memory). Function is not configurable. System Arch 27 (Fire Tom Wada) 5

System Arch 27 (Fire Tom Wada) 6 Combinational Logic realization - Table - TRUTH TABLE f C B A A B C f Decoder Function is configurable by storing the TABLE values.

Clocked D LATCH D CLK When CLK= D bit memory by NOR cross-loop When CLK=, = D, /=not(d) When CLK=, holds previous data. When CLK= CIRCUIT SYMBOL: D CLK System Arch 27 (Fire Tom Wada) 7

Master-Slave D Flip-Flop D D D CLK CLK CLK CLK D 2 LATCHES in series Still work as bit memory CLK edge Trigger Operation Most commonly used memory element in the state-of-the-art synchronous Digital Design. only changes CLK edge (once in one cycle). CIRCUIT SYMBOL: D System Arch 27 (Fire Tom Wada) 8

Digital System is just FF + CLs D CL D CL D D D CL FPGA supports such digital circuit with configurability. FPGA s basic element CL D System Arch 27 (Fire Tom Wada) 9

Example of Circuit Synthesis System Arch 27 (Fire Tom Wada)

XILINX FPGA Field Programmable Gate Array System Arch 27 (Fire Tom Wada)

XILINX XC3 Family I/O Electronic Static Discharge Protection CMOS, TTL input Registered /Non Registered I/O System Arch 27 (Fire Tom Wada) 2

XILINX XC3 Family CLB CLB: Configurable Logic Block Look-up table for combinational logic D-Flip-Flops Look-up Table = RAM System Arch 27 (Fire Tom Wada) 3

XILINX XC4 Family CLB Two Stage Look-up Table System Arch 27 (Fire Tom Wada) 4

XILINX VIRTEX FAMILY ARCHITECTURE CLB: Configurable Logic Block Many 4Kbit RAM BLOCK RAM DLL (Delay-Locked Loops) to provide controlled-delay clock networks Multiplier (8b x 8b) Macro also supported (not in figure) System Arch 27 (Fire Tom Wada) 5

XILINX VIRTEX FAMILY CLB CLB: Configurable Logic Block Many 4Kbit RAM BLOCK RAM DLL (Delay-Locked Loops) to provide controlled-delay clock networks System Arch 27 (Fire Tom Wada) 6

XILINX VIRTEX FAMILY I/O Electronic Static Discharge Protection CMOS, TTL input Registered /Non Registered I/O System Arch 27 (Fire Tom Wada) 7

ALTERA CPLD Complex Programmable Logic Devices Altera uses less routing resource than Xilinx Altera s Logic Array Block (LAB) is more complex than Xilinx s CLBs. Then fewer LABs in on chip than Xilinx s CLBs. System Arch 27 (Fire Tom Wada) 8

ALTERA FLEX8 ARCHITECURE Each LAB has eight LEs (Logic Elements). System Arch 27 (Fire Tom Wada) 9

ALTERA FLEX8 Logic Element (LE) CARRY, CASCADE signals System Arch 27 (Fire Tom Wada) 2

ALTERA APEX 2K ARCHITECTURE MANY RAMs Large Number Input combinational logic such as Multiplier Phase Locked Loop for Advanced Clock generation System Arch 27 (Fire Tom Wada) 2

How to Design your Digital System using Hard-Macro Blocks White Blocks might be available (Hardware pre-designed Blocks) Multiplier I/O circuit Your Circuit ROM RAM SoftWare for CPU CPU RAM ROM System Arch 27 (Fire Tom Wada) 22

Hardware Description Languages (HDLs) HDL is a software programming language used to model the intended operation of a piece of hardware. Two level of modeling Abstract behavior modeling Hardware structure modeling: Input to Circuit Synthesis Two kinds of Language VHDL: Very High Speed Integrated Circuit hardware description language Similar to Pascal Programming language Verilog HDL: Similar to C Programming language System Arch 27 (Fire Tom Wada) 23

HALF_ADDER example VHDL Verilog HDL library IEEE; use IEEE.std_logic_64.all; entity HALF_ADDER is port ( A, B : in std_logic; S, C : out std_logic ); end HALF_ADDER; architecture STRUCTURE of HALF_ADDER is begin S <= A xor B; C <= A and B; end STRUCTURE; module HALF_ADDER ( A, B, S, C ); input A, B; output S, C; assign S = A ^ B; assign C = A & B; endmodule System Arch 27 (Fire Tom Wada) 24

Moving Average Filter by VHDL library IEEE; use IEEE.STD_LOGIC_64.all; use IEEE.STD_LOGIC_ARITH.all; entity AVG4 is port(clk : in std_logic; FMINPUT : in std_logic_vector(7 downto ); AVGOUT : out std_logic_vector(7 downto )); end AVG4; architecture RTL of AVG4 is signal FF, FF2, FF3, FF4 : std_logic_vector(7 downto ); signal SUM : std_logic_vector(9 downto ); begin -- SHIFT REGISTER process(clk) begin if (CLK'event and CLK = '') then FF <= FMINPUT; FF2 <= FF; FF3 <= FF2; FF4 <= FF3; end if; end process; -- SUM SUM <=signed(ff(7)&ff(7)&ff)+signed(ff2(7)&ff2(7)&ff2) +signed(ff3(7)&ff3(7)&ff3)+signed(ff4(7)&ff4(7)&ff4); -- DIVIDE BY 4 (SHIFT 2 bit), OUTPUT REGISTER process(clk) begin if (CLK'event and CLK='') then AVGOUT <= SUM(9 downto 2); end if; end process; end RTL; System Arch 27 (Fire Tom Wada) 25

Simulated Waveform System Arch 27 (Fire Tom Wada) 26

Synthesized Circuit System Arch 27 (Fire Tom Wada) 27

XILINX VP7 FLOORPLAN System Arch 27 (Fire Tom Wada) 28