Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Similar documents
Hardware Modeling. Hardware Description. ECS Group, TU Wien

Digital System Design

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

COE 561 Digital System Design & Synthesis Introduction

University of California, Davis Department of Electrical and Computer Engineering. EEC180B DIGITAL SYSTEMS Spring Quarter 2018

Digital Design Methodology

Digital Design Methodology (Revisited) Design Methodology: Big Picture

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

FPGA Design Flow. - from HDL to physical implementation - Victor Andrei. Kirchhoff-Institut für Physik (KIP) Ruprecht-Karls-Universität Heidelberg

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

System Synthesis of Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Hardware Synthesis. References

Programmable Logic Devices II

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Reconfigurable Computing. Design and implementation. Chapter 4.1

Early Models in Silicon with SystemC synthesis

CMSC 611: Advanced Computer Architecture

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Design and Verification of FPGA Applications

EEL 4783: HDL in Digital System Design

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

FPGA Based Digital Design Using Verilog HDL

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Hardware Design and Simulation for Verification

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

FPGA Design Flow 1. All About FPGA

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Chapter 1 Overview of Digital Systems Design

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Hardware Modeling. VHDL Architectures. Vienna University of Technology Department of Computer Engineering ECS Group

Mixed Signal Verification Transistor to SoC

Evolution of CAD Tools & Verilog HDL Definition

Overview of Digital Design Methodologies

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

LSN 6 Programmable Logic Devices

Introduction to Field Programmable Gate Arrays

Advanced Synthesis Techniques

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

Design Methodologies and Tools. Full-Custom Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Reconfigurable Computing. Design and Implementation. Chapter 4.1

PROGRAMMABLE MODULES SPECIFICATION OF PROGRAMMABLE COMBINATIONAL AND SEQUENTIAL MODULES

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

ESL design with the Agility Compiler for SystemC

C-Based Hardware Design

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL

PINE TRAINING ACADEMY

IMPLEMENTATION DESIGN FLOW

VHDL for Synthesis. Course Description. Course Duration. Goals

Topics. FPGA Design EECE 277. Interconnect and Logic Elements Part 2. Laboratory Assignment #1 Save Everything!!! Guest Lecture

EITF35: Introduction to Structured VLSI Design

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

Verilog Simulation Mapping

Spiral 1 / Unit 4 Verilog HDL. Digital Circuit Design Steps. Digital Circuit Design OVERVIEW. Mark Redekopp. Description. Verification.

Actel Libero TM Integrated Design Environment v2.3 Structural Schematic Flow Design Tutorial

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Revolutioni W zi h Wn e hgn e n F a Mi i s liu lsir u e ro e Cri I ti s Ic N al o t V A e n ri n O fi p c ti a o ti n oo

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

FPGA briefing Part II FPGA development DMW: FPGA development DMW:

Lorenz Kolb, Missing Link Electronics

Lab 3 Verilog Simulation Mapping

Verilog for High Performance

Making the Most of your MATLAB Models to Improve Verification

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Digital Systems Laboratory

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

ANADOLU UNIVERSITY DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING. EEM Digital Systems II

DESIGN STRATEGIES & TOOLS UTILIZED

Design of Embedded Systems

Fundamental Design Concepts. Fundamental Concepts. Modeling Domains. Basic Definitions. New terminology and overloaded use of common words

Overview of Digital Design with Verilog HDL 1

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Evaluation of the RTL Synthesis Tools for FPGA/PLD Design. M.Matveev. Rice University. August 10, 2001

RTL Coding General Concepts

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

FPGA: What? Why? Marco D. Santambrogio

System-on-Chip Design for Wireless Communications

Verilog Module 1 Introduction and Combinational Logic

FPGAs in a Nutshell - Introduction to Embedded Systems-

The QR code here provides a shortcut to go to the course webpage.

Synthesis at different abstraction levels

LSN 1 Digital Design Flow for PLDs

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1

Transcription:

Hardware Modelling Design Flow Overview ECS Group, TU Wien 1

Outline Difference: Hardware vs. Software Design Flow Steps Specification Realisation Verification FPGA Design Flow 2

Hardware vs. Software: Design Flow C-Code VHDL-Code Function counter(int a, int b) { Begin if a=b then count:= 0 else Architecture rtl of Counter is Begin if a=b then count<= 0 else Development tools Development tools Binary code edif - file 3 Memory is loaded, hardware is not changed Gates and connections are configured no software is running on the device

Embedded Systems Design Flow System Definition Specification Decomposition Partitioning } Project Management/ System Engineering } Hardware Software CoDesign Software Firmware Hardware Hardware Modelling 4

Hardware Modeling 5 Specification Functional specification High-level design description Detailed design description Realisation Hardware description Hardware implementation Verification Review Formal verification Functional verification Effort and Time 1-5 % 25-30 % 70-80 %

Hardware Modeling 6 Specification Functional specification High-level design description Detailed design description Realisation Hardware description Hardware implementation Verification Review Formal verification Functional verification Effort and Time 1-5 % 85-90 % 5-10 %

Hardware Modeling Specification Functional specification High-level design description Detailed design description Realisation Hardware description Hardware implementation 7 Verification Review Formal verification Functional verification

Specification (1) Functional description Operational principle User interface Transaction level description Project proposal / project description 8

Specification (2) High-level design description Requirement specification Decomposition in modules Interface definition Physical Interfaces (Module ports, pins, etc). Logical Interfaces (data types, e.g.) Behavioral Interfaces ( reactions on a stimulus) independent implementation of submodules possible Testcase specification Reference document for all implementations 9

Specification (3) Detailed Design Description Refinement of the modules Detailed description of structure and functionality Structure: submodules and their interconnection Behavior: State diagrams, Activity diagrams, References to requirements Traceable decisions Modeling languages: UML, SysML Technical manual for hardware description 10

Specification - Summary Functional description Incomplete specification High Level Design Decription Common design document Complete specification Submod & IF definition Detailed Detailed Detailed Design Design Description Design Description Description Detailed Design Description Detailed Design Description Requirements must be covered 11 Mod 1 Mod 2 Mod n

Hardware Modeling Specification Functional specification High level requirement description Detailed design description Realisation Hardware description Hardware implementation 12 Verification Review Formal verification Functional verification

Realisation Hardware Description Design views, levels of abstraction Modeling languages Hardware Implementation Synthesis Technology mapping Place and Route 13

Realisation Hardware Description Design views, levels of abstraction Modeling languages Hardware Implementation Synthesis Technology mapping Place and Route 14

Hardware Description: Design Entry(1) Design Appraoch Behaviour while input Read Schilling Calulate Euro Display Euro Structure Speicher RS232 16 Interface 8 PS/2 µp IO-Ctrl Interface Geometry µp IO-Ctrl PS/2 RS232 15 different views describe the same chip Y-diagram

Hardware Description: Design Entry (2) Levels of abstraction System level Memory CPU IO Busl 16 Algorithmic level µp IO-Ctrl ROM RS232 Interface 8 PS/2 Interface Register Transfer level MUX Register Counter ALU E >1 Gate level & B A C 16 Transistor level

Hardware Description: Design Entry (3) Schematic Entry Gates (AND, OR, INV, FF, ) Modules (ALU, Register, Decoder, ) IP Core (Processor core, communication controller) Text based Entry Boolean equations VHDL, Verilog SystemC, SystemVerilog 17

Realisation Hardware Description Design views, levels of abstraction Modeling languages Hardware Implementation Synthesis Technology mapping Place and Route 18

Hardware Implementation Synthesis Mapping of a hardware description to hardware components cnt_ntx: process (load,up_down, cnt_val) begin +1-1 cnt_val cnt_val_nxt <= cnt_val; up_down Mux if load ='1' then cnt_val_nxt <= SET_VAL; elsif up_down = '1' then cnt_val_nxt <= cnt_val + 1; else cnt_val_nxt <= cnt_val - 1; end if; end process cnt_ntx; Transformation load + SET_VAL Mux cnt_val_nxt 19

Hardware Implementation Technology mapping Mapping of hardware components to the selected library up_down +1-1 Mux cnt_val LUT LUT + SET_VAL LUT load Mux Technology Mapping cnt_val_nxt 20

Hardware Implementation Place and Route Mapping of library elements to the real chip LUT LUT LUT LUT Place and Routing LUT LUT 21

Hardware Modelling Specification Functional specification High level requirement description Detailed design description Realisation Hardware description Hardware implementation 22 Verification Review Formal verification Functional verification

Verification Review High level of abstraction Formal verification Equivalence checking Model checking Functional Verification Simulations Prototype 23

Verification Review High levels of abstraction Formal verification Equivalence Checking Model checking Functional Verification Simulations Prototype 24

Review (1) Check for correctness High level of abstraction Usually not automated Code review by colleagues 25

Review (2) Implementation Specification Prototype Review 26

Review (3) The human factor Implementation Specification Interpretation Prototype Review 27 designer reviews design against its own interpretation, not against the specification

Verification Review High levels of abstraction Formal verification Model checking Equivalence Checking Functional Verification Simulations Prototype 28

Formal Verification (1) Model Checking Identifies generic problems Violation of user defined rules Implementation Specification Interpretation Properties Model Checking Prototype 29

Formal Verification (2) Equivalence check Compares to two models +1-1 cnt_val up_down Mux LUT LUT + set_val load Mux LUT cnt_val_nxt generic implementation technology specific gates 30 same functionality, but different models

Verification Review High levels of abstraction Formal verification Equivalence Checking Model checking 31 Functional Verification Simulations Prototype

Simulation (1) Testbench Generate stimuli, emulate testcases Record / check response Metric: Coverage Testbench Inputs/ Outputs 32 db

Simulation (2) Different abstraction levels Behavioural simulation Prelayout simulation Postlayout simulation Refinement 33

Simulation (3) Trade-off speed vs. accurancy Simulation time for a SoC Real Hardware Timeless C Model RTL HDL 4 seconds 1 minutes 4 days Gate Level 5 weeks 34

Simulation (3) Trade-off speed vs. accurancy Simulation time for a SoC Real Hardware Timeless C Model RTL HDL 4 seconds 1 minutes 4 days Gate Level 5 weeks 35

FPGA Design Flow (1) Specification Place & Route, Timing analysis Design documents Netlist, timing annotations Design Entry Bitstream Generation VHDL Bitstream Synthesis & Technology Mapping FPGA configuration Netlist 36

FPGA Design Flow (2) Specification Design documents Design Entry VHDL Behavioral simulation Ok? no Synthesis & Technology Mapping yes no Netlist Pre-layout simulation Ok? 37 yes

FPGA Design Flow (3) Design Entry Place & Route, Timing analysis Netlist, timing annotations Post-layout simulation Ok? no Bitstream Generation yes Bitstream FPGA configuration Test Ok? no 38

The End Questions? 39