Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Similar documents
Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

Validation Strategies with pre-silicon platforms

Baseband IC Design Kits for Rapid System Realization

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

ISO Tool Confidence Level (TCL)

Innovation in System Design Enablement. Cadence Design Systems, Inc. Graser Technology Conference October 2015

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Does FPGA-based prototyping really have to be this difficult?

Will Everything Start To Look Like An SoC?

MIPI : Advanced Driver Assistance System

IOT is IOMSLPT for Verification Engineers

Will Everything Start To Look Like An SoC?

Embedded Hardware and Software

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

100M Gate Designs in FPGAs

Analyzing and Debugging Performance Issues with Advanced ARM CoreLink System IP Components

Combining TLM & RTL Techniques:

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Shortest path to the lab. Real-world verification. Probes provide observability

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart

Power: What s the problem?

The How To s of Metric Driven Verification to Maximize Productivity

Enabling Safe, Secure, Smarter Cars from Silicon to Software. Jeff Hutton Synopsys Automotive Business Development

Using Formalized Programming Sequences for Higher Quality Virtual Prototypes

Virtual Hardware ECU How to Significantly Increase Your Testing Throughput!

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

Embedded HW/SW Co-Development

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Optimizing Cache Coherent Subsystem Architecture for Heterogeneous Multicore SoCs

Test and Verification Solutions. ARM Based SOC Design and Verification

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Modeling Performance Use Cases with Traffic Profiles Over ARM AMBA Interfaces

NS115 System Emulation Based on Cadence Palladium XP

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

Intelligent Interconnect for Autonomous Vehicle SoCs. Sam Wong / Chi Peng, NetSpeed Systems

Analyze system performance using IWB. Interconnect Workbench Dave Huang

Building High Performance, Power Efficient Cortex and Mali systems with ARM CoreLink. Robert Kaye

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

Maximizing Verification Effectiveness Using MDV

Introduction to Embedded Systems

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

Introduction to gem5. Nizamudheen Ahmed Texas Instruments

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

Hardware/Software Co-design

Hardware Design and Simulation for Verification

CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE VERIFICATION PANKAJ SINGH, MALATHI CHIKKANNA

Virtual PLATFORMS for complex IP within system context

10 th AUTOSAR Open Conference

ASIC world. Start Specification Design Verification Layout Validation Finish

Vertical Reuse of functional verification from subsystem to SoC level (with seamless SoC emulation)

Smart Antennas and Hypervisor: Enabling Secure Convergence. July 5, 2017

Development of a modern Airbag System Prototype COSIDE User Experience

Cadence/EURORPACTICE 2011/2012 Release. IC Package. Cadence Advanced Encryption Standard-64bit

Samsung and Cadence. Byeong Min, Master of Infrastructure Design Center, System LSI Business, Samsung. The Customer. The Challenge. Business Challenge

Formal Contribution towards Coverage Closure. Deepak Pant May 2013

ECE 111 ECE 111. Advanced Digital Design. Advanced Digital Design Winter, Sujit Dey. Sujit Dey. ECE Department UC San Diego

An approach to accelerate UVM based verification environment

Early Software Development Through Emulation for a Complex SoC

COMPLEX EMBEDDED SYSTEMS

Park Sung Chul. AE MentorGraphics Korea

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India

Copyright 2014 Xilinx

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

A Model-based, Single-Source approach to Design-Space Exploration and Synthesis of Mixed-Criticality Systems

Incisive Enterprise Verifier

Automating Root-Cause Analysis to Reduce Time to Find Bugs by Up to 50%

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Infotainment Solutions. with Open Source and i.mx6. mentor.com/embedded. Andrew Patterson Business Development Director Embedded Automotive

Extending the Power of FPGAs

Parallel Simulation Accelerates Embedded Software Development, Debug and Test

Scalable and Flexible Software Platforms for High-Performance ECUs. Christoph Dietachmayr Sr. Engineering Manager, Elektrobit November 8, 2018

Dr. Yassine Hariri CMC Microsystems

ISO26262 This Changes Everything!

Functional Safety and Safety Standards: Challenges and Comparison of Solutions AA309

System Level Design with IBM PowerPC Models

Software Verification for Low Power, Safety Critical Systems

Beyond Hardware IP An overview of Arm development solutions

Accelerating FPGA/ASIC Design and Verification

An Executive View of Trends and Technologies in Electronics

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Efficient HW/SW Co-Design & Validation of Complex Emerging Systems

Creating hybrid FPGA/virtual platform prototypes

Efficient use of Virtual Prototypes in HW/SW Development and Verification

November 16, TTTech Computertechnik AG / TTTech Auto AG Copyright TTTech Auto AG. All rights reserved

FPGA Entering the Era of the All Programmable SoC

Designing, developing, debugging ARM Cortex-A and Cortex-M heterogeneous multi-processor systems

2. HW/SW Co-design. Young W. Lim Thr. Young W. Lim 2. HW/SW Co-design Thr 1 / 21

Hardware-Software Codesign. 1. Introduction

Synopsys Design Platform

ADAS System Design Enablement From zero Defects to zero Accidents

Wai Chee Wong Sr.Member of Technical Staff Freescale Semiconductor. Raghu Binnamangalam Sr.Technical Marketing Engineer Cadence Design Systems

Graph-Based Verification in a UVM Environment

Transcription:

Verification Futures The next three years February 2015 Nick Heaton, Distinguished Engineer

Let s rewind to November 2011 2 2014 Cadence Design Systems, Inc. All rights reserved.

November 2011 SoC Integration Challenges are the next frontier 3 2014 Cadence Design Systems, Inc. All rights reserved.

November 2011 SoC Integration Challenges are the next frontier Ensuring new design IP correct by construction 4 2014 Cadence Design Systems, Inc. All rights reserved.

November 2011 SoC Integration Challenges are the next frontier Ensuring new design IP correct by construction Need for automation for common SoC components like Interconnect infrastructure 5 2014 Cadence Design Systems, Inc. All rights reserved.

November 2011 SoC Integration Challenges are the next frontier Ensuring new design IP correct by construction Automation for common SoC components like Interconnect infrastructure Reducing barriers to migration across simulation engines 6 2014 Cadence Design Systems, Inc. All rights reserved.

November 2011 SoC Integration Challenges are the next frontier Ensuring new design IP correct by construction Automation for common SoC components like Interconnect infrastructure Reducing barriers to migration across simulation engines HW/SW Challenges Simulation performance Debug 7 2014 Cadence Design Systems, Inc. All rights reserved.

How have things moved on? 8 2014 Cadence Design Systems, Inc. All rights reserved.

Evolution of integrated end-to-end platforms Enabling verification reuse and portability Perspec System Verifier vmanager Incisive Debug Analyzer, SimVision Verification IP System-level Use-Case Verification Plan & Management Debug Analysis Verification IP + Forte & Jasper M&As VSP Incisive Virtual Prototyping Palladium Hybrid CtoS Cynthesizer High-level Synthesis JasperGold + IFV/IEV Formal Verification TLM Design & Verification Metric Driven Verification Incisive Simulation Palladium Emulation & Accelerattion Stratus, Incisive, UVM-ML Protium FPGA Based Prototyping vmanager, Incisive, Palladium, VIP, JasperGold ARM-based SoC Development Palladium Hybrid, AMBA VIP/IPK, IWB, Perspec Low Low Power Power & Mixed Mixed Signal Signal Functional Safety CPF & IEEE1801, Palladium DPA, JasperGold LP Incisive DMS, AMS Designer, Spectre Incisive Fault Simulator (JasperGold, Palladium) + Incisive & VIP leadership + Hybrid & Perspec for software driven verification technology + ARM, MDV, FuSa, MS, LP solutions Sim VIP Catalog VIP for Palladium In-Circuit Acceleration Debug Analyzer Cadence System Development Suite IEEE1801 Palladium XP II, Hybrid Interconnect Validation Forte Jasper Safety ARM Solution Protium 9 2014 Cadence Design Systems, Inc. All rights reserved. 2011 2012 2013 2014 2015

A New Era in Verification Management Verification Engines User Interface Client #n User Interface Client #1 Incisive vmanager Application Coverage Results Plans LAN User Interface Client #2 Incisive vmanager Verification Database Verification is a team activity Multi-user / multi-project environment Database-driven for massive scaling Instant access to real-time reports Continuous operating modes Automatic data management Synchronous information 10 2014 Cadence Design Systems, Inc. All rights reserved.

Formal-assisted design methodology Formal pioneers consider it critical for RTL Designers to be active in verification effort Design and verification knowledge to be shared and maintained cross teams and cross projects Impact Reduce costly and long design loops by enabling backend work flows to work on higher quality RTL design Design intent and validation collateral maintained across design projects Boost the overall TTM and quality of products 11 2014 Cadence Design Systems, Inc. All rights reserved. FMCAD 2012

Spectrum of Formal Verification Solutions ROI Quality Cost Risk Schedule Arch Arch Design Design Verify Verify Integrate Post-Si Integrate Post-Si $$ Saving Formal Verification in the Mainstream! 90 s 00 s 10 s 20 s 12 2014 Cadence Design Systems, Inc. All rights reserved.

Palladium Hybrid Early SW & System Validation Fast Processor Model TLM2 A15 x 4 A7 x 2 Coherent Fabric SW Integrator Solution Timers UARTs emmc Execute SW at 100MHz With standard or custom processor models Plug and Play Integration with RTL SoC-specific transactors and RTL I/F Reconfigurable Interconnect TLM Memory Smart DDR TLM/RTL Bridge Interrupt Manager Reset Manager CPU Sub-system RTL I/F Validate SoC + OS at 5-10 MHz on PXP High-performance memory coherency Shorten SoC Debug System Messages HW / SW Debuggers AXI4 or ACE-Lite Compute Subsystem A15 x 4 A7 x 2 Coherent Fabric SoC Interconnect Fabric Interrupts UART INTC Timer System Boot Resets Peripheral Fabric Color Key: Customer RTL SW Integrator RTL TLM Back-Door I/F Memory Controller DDR3 Smart DDR MM GPU Customer Design (no RTL changes) CSI DSI Ethernet Display USB2 USB3 SATA 13 2014 Cadence Design Systems, Inc. All rights reserved.

Perspec System Verifier Solution 10x productivity for SoC complex test creation Abstraction: UML style use-case diagrams Automation: system use-case test generation Portability: reuse across all execution platforms Measurement: SoC-level HW/SW coverage metrics 14 2014 Cadence Design Systems, Inc. All rights reserved.

What will drive verification in the next 3 years? 15 2014 Cadence Design Systems, Inc. All rights reserved.

Verification is changing Power, Performance and Thermal Considerations Analysis traffic Verification Power Analysis Implementation Key traffic data generated by verification Use-case and SW-driven TB increase value for analyses Performance Analysis Thermal Analysis Requires physical for accuracy Packaging/ambient modeling Architecture System Performance goals/metrics Modeling/abstraction Package Board 16 2014 Cadence Design Systems, Inc. All rights reserved.

Automotive Challenges Mixed-Signal and Safety concerns Advanced Driver Assist Systems Image video processing Sensor fusion Functional safety Infotainment systems HiFi audio processing Voice triggering Active noise cancellation Lidar/Radar Sensors Ethernet Camera V2X In-vehicle Networking ADAS, infotainment, gateways, cameras, etc. will all use Ethernet Key Cadence IP to build and verify Ethernet networks ADAS ECU Infotainment Head Unit Automotive Ethernet ECU 17 2014 Cadence Design Systems, Inc. All rights reserved.

Where are we going? 18 2014 Cadence Design Systems, Inc. All rights reserved.

SoC Power-Performance-Thermal System Development Suite Engines Palladium Incisive Analysis traffic VCD, SAIF Power Analysis Voltus Verification Power profile Power map Stratus Encounter SoC sim configuration Floorplan Layout Implementation IWB VMgr Performance Analysis Thermal map Sigrity Power DC Thermal Analysis Perspec SVR Architecture System Analysis scoreboard Floorplan Layout Allegro Package Board 19 2014 Cadence Design Systems, Inc. All rights reserved.

Requirements TCL Cadence Functional Safety Solution High initial quality 10+ year reliability Predictable failure recovery or fail safe mode Proven technology in connected flow Traceable requirements throughout Integrated safety verification NEW! IP Design & Implementation Signoff & Validation Functional Verification Safety Verification Safety Manual Safety Testing (ASIL) ISO 26262 20 2014 Cadence Design Systems, Inc. All rights reserved.

The Eras of Verification Looking at the past and into the future Metric Driven (HW Coverage, SW Coverage, Use-Cases, Mixed-Signal, Functional Safety, Performance, Power, Bug Count, Code Churn etc etc) HVL Driven Verification Era SW-Driven Verification Era 1980 Directed Testing Era (aka Stone Age) 2000 2020 1990 2010 21 2014 Cadence Design Systems, Inc. All rights reserved.