OpenPDK Production Value and Benchmark Results

Similar documents
Open Process Spec Adoption: a Case Study

OpenPDK Coalition. Open Process Specification Working Group Status

PDK Automation An IBM Perspective

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

OpenPDK Symbol, Callbacks and Parameters Working Group

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

Adding Curves to an Orthogonal World

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Putting Curves in an Orthogonal World

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Detailed Presentation

OpenAccess PCells Ed Petrus VP Engineering V2

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

Concurrent, OA-based Mixed-signal Implementation

Expert Layout Editor. Technical Description

Synopsys Design Platform

Laker and Calibre RealTime, an OA Integration Success Story

DRVerify: The Verification of Physical Verification

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

OpenAccess based architecture for Neolinear s Rapid Analog Design Flow

Design software and services for the integrated photonics market IPKISS Moves the edges in PIC PDK building

Silicon Photonics Scalable Design Framework:

Virtuoso - Enabled EPDA framework AIM SUNY Process

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Virtuoso Layout Suite XL

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016

SystemC Standardization Update Including UVM for SystemC Accellera Systems Initiative SystemC Standards Update. Andy Goodrich, Cadence Design Systems

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016

CircuitsMulti-Projets

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

Photonics Integration in Si P Platform May 27 th Fiber to the Chip

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

Joe Civello ADS Product Manager/ Keysight EEsof EDA

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

Circuits Multi Projets

An Incremental Technology Database Structure for Analog/Mixed-Signal Methodologies

Laker Custom Layout Automation System

How Can Testing Teams Play a Key Role in DevOps Adoption?

DATASHEET VIRTUOSO LAYOUT SUITE GXL

Lecture 6. Tutorial on Cadence

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

Imaging, BiCMOS ASIC and Silicon Photonics. Eric Aussedat Executive Vice President General Manager, Imaging, Bi-CMOS ASIC and Silicon Photonics Group

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

idrm: Fixing the broken interface between design and manufacturing

PIC design across platforms. Ronald Broeke Bright Photonics

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

SysML Past, Present, and Future. J.D. Baker Sparx Systems Ambassador Sparx Systems Pty Ltd

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

Laker 3 Custom Design Tools

Process Agnostic Library Migration Automation

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Model Builder Program (MBP) Complete Silicon Turnkey Device Modeling Software

AMS DESIGN METHODOLOGY

Keysight Technologies Integrating Multiple Technology Devices onto Laminate-Based Multi-Chip-Modules Using an Integrated Design Flow

Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer

SMASH: a Verilog-A simulator for analog designers

TSBCD025 High Voltage 0.25 mm BCDMOS

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Galaxy Custom Designer LE Custom Layout Editing

CREATIVE CHIPS GmbH. Facts Phone: / Fax: / Internet:

Guidelines for Verilog-A Compact Model Coding

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

An Overview of Standard Cell Based Digital VLSI Design

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Enabling An Interconnected Digital World Cadence EDA and IP Update. Jonathan Smith Director, Strategic Alliances June 1, 2017

Stacked IC Analysis Modeling for Power Noise Impact

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

Common Platform Ecosystem Enablement

DEMO: OpenPDK Schematic Symbol Standard V1.0 It s ALIVE!

VLSI design project, TSEK01

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Mixed-Signal Analog. C.S. Lee. Senior Vice President High-Volume Analog & Logic

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Dell Software Defined Enterprise

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Dictionary Driven Exchange Content Assembly Blueprints

Connected Grid Design Suite-Substation Workbench Release 1.0: Frequently Asked Questions (FAQ)

VLSI CAD ENGINEERING GRACE GAO, PRINCIPLE ENGINEER, RAMBUS INC. AUGUST 5, 2017

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

5.2 Technology Leadership

High Volume Manufacturing Supply Chain Ecosystem for 2.5D HBM2 ASIC SiPs

oascript HowTo Kevin Nesmith Lead Engineer, Si2 June 10, 2013

Manufacturing and Technology R&D

Compact Model Council

Xilinx SSI Technology Concept to Silicon Development Overview

Simulation and Modeling for Signal Integrity and EMC

Preface. This Book and Simulation Software Bundle Project

An overview of standard cell based digital VLSI design

CMOS Design Lab Manual

Transcription:

OpenPDK Production Value and Benchmark Results Philippe MAGARSHACK Executive Vice-President, Design Enablement and Services June 2 nd, 2014

ST s Strong technology portfolio : Several R&D Partnerships & Manufacturing 2 nd Sources Advanced CMOS FD-SOI MEMS 2 envm Power & Discrete CMOS Image Sensor BiCMOS & Photonics Analog Mixed Signal RF SOI BCD

Target of OpenPDK Coalition 3 OpenPDK Coalition Introduction The OpenPDK Coalition was founded in mid-2010 with the goal of defining a set of open standards to allow an OpenPDK to be a created set of once open and then standards translated into allow specific an EDA vendor tools and specific foundry formats. OpenPDK to be created once and then translated into specific EDA vendor tools and specific foundry formats. This will allow an OpenPDK to be as portable across foundries and as agnostic to EDA tools as possible. Let s Start with PDK Inputs format Open Process Specification The Si2 OpenPDK standard will enable greater efficiency in PDK development, verification, and delivery. http://www.si2.org/?page=1118

WHAT IS OPS? 4 Open Process Specification (OPS) is a standardized format for exchanging all data needed to generate a complete PDK. Supplier DRM DB Layer List Device Specifications XSL parser script Exchange (standardized) OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < > OPS.xsd parser This is the SI2 OPS standard parser parser parser parser Customer PDK DRC LVS Library PEX

2006 2009 2010 2011 2012 2013 2014 2006 First edrm & PDK automation (DRC, pcell, QAcell) 40/45nm October 2009 XML edrm program start March 2010 1 rst phase spec frozen June 2010 1 rst IBM & ST discussion about edrm solution 32/28nm August 2010 SI2 OPS Working Group Creation October 2010 ST Contribution : first OPS UML description OPS History March & October 2011 ST Contribution : 1 st & 2 nd OPS.xsd + «demo DRM 45nm 1.0» June 2011 DAC 1 st ST Results with OPS : «Dev. Lib generation from OPS» 20nm June 2012 SI2 DAC Demo : DRM-> XML-> OpenDFM (DRC) -> CDN/SNPS/MGC DRC > Layout Viewer 40nm August 2012 ST Contribution : «Updated demo DRM 45nm» (extended to address Multi Patterning / Local Interconnect Layers & specific rules / 3D Space) Since August 2012 used by ST to generate 20nm/14FDSOI/SI-Photonics PDK Device Library & Techfile November 2012 OPS.XSD 1.0 (semantic of the XML file) Since Q1 2013 used by ST to generate 3D IC PDK Addons June 2013 DAC Demo : Rule subset from ST Demo DRM 45nm translation into OpenDFM deck November 2013 OPS.XSD 1.1 with ST Contribution : «demo DRM 45nm 1.6» Q1 & Q2 2014 OPS Proof of concept Scripts : Automatic Translation OPS opendfm & OPS OpenAccess Techfile June 2014 DAC Demo & Presentation : Full ST «Demo DRM 45nm» translation into OpenDFM deck OPS translation into OpenAccess Techfile Q3 2014 OPS.XSD 1.2 with ST Contribution : «Updated demo DRM 45nm» 5 ST only

Open-PDK Value for ST 6 Self-consistent electronic DRM document to generate automatically the PDK Foundries Inputs <<<< DB OPS.XML PDK EDA Tools Standard needed to drive techno alliance & to manage multiple foundry partnership. Several Supplier Formats d i t a FM Other DB r t f OPS.XML Only one Flow PDK OPDK Working Groups motto: Write once, use many, (test forever)! EDA Tools A EDA Tools B OPS.XML PDK for Tool A PDK for Tool B

OPDK/OPS @ ST Device Library & Techfile 1/2 OPDK Device Library definition Device List : 30 Active (MOS, BIP) + 20 Passive (Res, Capa) CDF : parameters description Callback procedures (range value, parameters checks, equations based, ) Symbol view with display information (pins, params, name) Tools Interfaces : simulation & netlisting stop views. Techfile (Layers, functions, Vias, Constraints) + layer map table + Display info. No Layout Pcell 7 OLG : OpenLibGen ST Tool that creates the OPDK Device Library from OPS.xml. OPS towards Device Library Benchmark Results Manual Generation ex: 45GS, exotic techno, OPS 1.2 + OLG First Library Generation (50 Devices) 4 m.w (Dev + Validation) 1 or 2 DKs per year 1.5 m.w (Dev + Validation) 1 or 2 DKs per year Lib N to Lib N+1 Average Update 1 m.w (4 Days + 1 Validation Day) per DK release (around 120 per year) = 120 m.w 0 m.w (2 hours & correct by construction) per DK release (around 120 per year) = 6 m.w + Automatic Documentation Generation + Quality Improvement + Automatic Documentation Generation Contents New Lib from scratch with a set of 50 devices (Mos, Res, Cap, Bip, ) 15 param per devices + associated callbacks Minimal OA Techfile Generation No Pcell One new device One new param per device One param Value updated per device One new LPP (Layer Purpose Pair) One constraint updated No Pcell update

OPDK/OPS @ ST Device Library & Techfile 2/2 8 Deployed at Production level in ST PDKs 20nm (2011)/ 14nm FD-SOI (2013) Silicon Photonics (SP) (2012) 3D IC Flow (SP + CMOS Sensor) (2013) Next in PDKs Roadmap 28nm FD-SOI PDKs (Q3 2014) RF, mmw, HV, Imager, etc Techno Nodes at 130nm from Q3/Q4 2014

OPDK/OPS @ ST Physical Verification 9 OPS to DRC With OPS & SI2 scripts, OPS Translation into a DRC deck is possible DRC format is opendfm Current Generation & Validation Flow edrm Translation Manual Generation DRC deck For Tool A DRC deck For Tool C DRC deck For Tool B Validation Validation Report on Tool C Validation Report on Tool C Comparison Comparison OPS SI2 OPS2openDFM Automatic Script opendfm Validation Validation Report on Tool A OPS replacing edrm Benefits: Time To market opendfm Automatic generation from new edrm format one opendfm Deck fits all DRC EDA Tools Quality Increase Correct by construction DRC Deck Less duplication in validating multi-deck approach.

OPDK/OPS @ ST Physical Verification 10 Deployment Status in ST PDKs 2012 Benchmark result with OpenDFM 1.0: 60% of Silicon Photonics Rules covered by opendfm Templates Towards complete Adoption by ST : opendfm 1.1 (# rule templates increase) : 2013 OPS 1.2 standard (Full coverage of DRM rule family (20nm)) : Q3 2014 Automation Script (SI2 OPS-to-openDFM) : Q1 2014 Next : EDA Vendor Long-Term commitment to opendfm Continued EDA Vendors (SNPS, CDNC, MGC) support will enable simultaneous up-to-date DRC Support by all vendors Roadmap: opendfm Support in ST PDKs at Production Level 28nm FD-SOI (Q3 2014) 14nm FD-SOI (Q4 2014) Silicon Photonics (SP) (Q3 2014)

OPDK/OPS @ ST Physical Verif : Next 11 OPS to Automated DRC QA pass/fail tests Manual Generation Current ST Internal Automation OPS & EDA Vendors Solution QA pass/fail tests generation 1x 10x 20x No Tooling maintenance DRC vs DRM consistency need to be correct by construction. DRM and other PDK inputs cross-checks DRM vs Design rules check Target : 90%-100% Coverage No existing neither automation nor tooling for verifying DRC decks Need now SI2 & EDA Vendor involvement. Target : from OPS to DRC towards DRC QA Regression 14/28nm FD-SOI (Q4 2014) Silicon Photonics (SP) (Q3 2014)

OpenPDK/OPS Next Step : PCells 12 Problems OpenPCell Addresses More productive programming effort Write once Pcell and Callback code Multi flow support Ease of integration into a PDK Assure high quality Expected Results are : Pcell generation productivity ++ Pcell quality enhancement One Spec Several Pcells code No flow supporting multiple language Fully supports SI2 OpenPcell Initiative Strong Link with OPS seen as added value Looking for Build-In Validation Concept

OPDK/OPS @ ST Next Steps 13 SI2 OpenPDK Project has already delivered several specifications: Device Symbols, Param, Callbacks & Tools Interface ESD, Open Process Spec & Universal Layer Model Others Specs about to be released for Pcells or OPS updates Proof of concept & Scripts are now also available. They will be demonstrated during this DAC at SI2 Booth. OpenPcell & opendfm Last Spec Benchmark to be done Automatic Techfile Constraints generation. Working with EDA Vendors to find a production solution

ST strongly supports PDK Standardization : Best Time-to-market PDK for IP-design flow Best PDK Development efficiency, quality Universal data store for design information (OA) Conclusion 14 EDA Vendors adoption of OPS is now a must-have to deploy across Industry within ST foundry offer. With above action plan for OPS Proliferation ST willingness to being more involve in Open3D & Silicon Photonics TABs ST already uses OPDK in production advanced & legacy technology PDKs.

THANK YOU

Back-Up Slides 10/06/2014

SI2 Presentation 17 Silicon Integration Initiative Organization of industry-leading companies in the semiconductor, electronic systems and EDA tool industries. Focused on improving productivity and reducing cost in creating and producing integrated silicon systems. Through collaborative efforts, the industry can achieve higher levels of systems-onsilicon integration while reducing the cost and complexity of integrating future design systems. Several Coalition initiated through SI2 Open Access Coalition OpenPDK Coalition Design For Manufacturability Coalition Compact Model Coalition Open3D TAB Silcon Photonics (SP) TAB Low Power Coalition

SI2 DFM Coalition 18 the Design for Manufacturability Coalition (DFMC) has developed a standard interface format that describes a comprehensive set of DFM parameters that can verify that a circuit will meet it s profit targets. The DFM parameters are defined in an open-source and extensible standard format called OpenDFM which provides a common set of DFM parameters to a wide variety of physical verification and analysis tools dramatically improving the interface between EDA vendors and silicon foundries. DFM Coalition Board DFMC Technical Steering Group (TSG) Compatibility Working Group opendfm Targetting Working Group OPEX Working Group LVS Not addressed today

SI2 OPDK Coalition 19 OpenPDK Coalition Board Chair: Jim Culp, IBM OpenPDK Technical Steering Group (TSG) Chair : Gilles Namur, ST ESD Open Process Specification (OPS) Symbols, Callbacks & Parameters (SCP) Pcell XML Packaging Pcell Common Language Grammar Unified Layer Model (Jointly with DFMC) OPS to OA TechDB OPS to DRC OpenPDK Working Groups

PDK Development Flow Ecosystem 20 Foundry 2 Foundry 1 Foundry 3 Set of PDK Inputs: DRM & Device Spec (Format B) Set of PDK Inputs: DRM & Device Spec (Format A) Set of PDK Inputs: DRM & Device Spec (Format C) PDK Development Team Device Library Spices LVS DRC PEX Customer A Design & Validation With Tool Suite A Customer B Design & Validation With Tool Suite B Customer C Design & Validation With Tool Suite C Several PDK Input formats Several PDK Generation flows Several EDA Tools for the same feature to be supported

Why OPS? 21 ST was looking for a smarter & more complete electronic PDK input format because lots of data were missing or were not easy to be extracted in traditionnal edrm. Open Process Specification New Format : Allow Automation for PDK generation. Eases EDA Vendor sync. with foundries Inputs. Foundries Inputs DB OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < > PDK EDA Tools OpenPDK At STMicroelectronics

Why OPS as a standard? 22 ST wanted to have this format defined as a standard and used across the industry because what ever is the format of the Database used by the supplier to manage the PDK inputs : The PDK generation flow remains the same. FM Supplier Other DB OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < > Only one Flow d i t a r t f DRC PDK PEX LVS Library OpenPDK At STMicroelectronics

ST was looking for an efficient way to generated several PDKs in parallel without a huge over cost. OPS is an answer to this issue. Once OPS is complete enough to produce a PDK : Why OPS? 23 You can produce any kind of PDK. DRC PDK for Tool A PEX EDA Tools A EDA Tools B OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < > LVS DRC Library PDK for Tool B PEX Even better, with the help of EDA Vendors : OPS could become a techfile LVS Library OpenPDK At STMicroelectronics

A concrete OPS example 24 ST is ready to produce their own edrm in OPS format. OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < > < > OPS.xsd All along the process of definition of OPS, ST has contributed with several DRM examples : demo DRM 45nm. The examples contain a complete DRM.pdf and its associated OPS.xml file aligned with the OPS.xsd defined by SI2 OPDKC OPS WG (available on SI2 website)

OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < > < > OPS : Automation for DRC & Techfile Constraints GroundRuler 25 Techfile Coder DRC Coder Enriched OPS.xml <Process> <Libraries> <Devices> <Layers> <Rules> < Templates > < > < > New Template In-House Template DB Reference to DRM DB OPS Enriched OPS Reference to New Template opendfm Template DB New Template Generator In-House Template DB Techfile Code DRC Code New Template

OPS to DRC Generation 26 OpenDFM File OPS XML The Target Calibre, PVS or ICV Runsets

OPS to DRC Generation 27 The Result : SI2 propose a script that automatically generate an opendfm Deck from an OPS.XML file The full set of rules of the demo DRM 45nm provided by ST has been converted in opendfm through this script Next Steps ST will benchmark this tool on a real technology : OPS.xml extracted from a real DRM opendfm Execution of the opendfm deck on DRC Regression Test cases Comparison with hand made DRC deck results on the same testcases.

OPS : Automation for Techfile Generation 28 OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> < > < > The Target Techfile All Layers Design Layers Derived Layers Via Definitions Physical Constraints Tool Directives

OPS To Techfile Generation 29 The Result : SI2 propose a script that automatically generate an OA techdb (openaccess techfile) with all the info relative to the layers ST current usage of OPS Coverage Layers Display GDS (Layer map side file) ST already has its own flow using OPS as input file to generate part of the techfile in some technos. Next Steps : Extend the automatic techfile generation with the process constraints through templates as decribed in previous slides. Extend the coverage of technos using this kind of automation. The Bi-Directional Translation allows to create an OPS file from an existing OA DB. Very Helpful for OPS Adoption

OPS : Automation for PDK device Library PDK Device Library generation push button flow from an OPS.xml as input. 30 Ref Symbols Library OPS.xsd Pcells Library OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> < > < > OpenLibGen is an ST Internal API & Tools. ST already has its own flow using OPS as input file to generate part of the techfile in some technos. Next Steps : Extend the automatic techfile generation with the process constraints through templates as described in previous slides. Extend the coverage of technos using this kind of automation. Parameter Setup File.. Callbacks Directory Spiltted Techfile Directory >

OPS : Automation for PDK documentation Device Library Documentation for End-User. 31 OPS.xsd OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> < > < > OpenLibGen is an ST Internal API & Tools. ST already has its own flow using OPS as input file to generate part of the techfile in some technos. Next Steps : Extend the doc template to enhance the full device Library documentation Device Lib Documentation Template (Word) Device Lib Documentation (Word) OPS Introduction

Open Pcells 32 Process Inputs Process Constraints Layer Definitions Devices PDK Spec Capture Tool OPS XML file <Process> <Libraries> <Devices> <Layers> <Rules> < > PDK Generation Tool PyCell Plugin TCL Plugin Custom Designer PDK Pyxis PDK PDK Testing Tool Models Vias Code Repository SKILL Python CLG SKILL Plugin Virtuoso PDK OPS with its PCells XML Repository solution is language agnostic and with the use of translators, the data can be adopted to any companies proprietary solution. OPS Introduction

OPS Introduction