RTL Coding General Concepts

Similar documents
Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

FPGA: What? Why? Marco D. Santambrogio

Overview of Digital Design with Verilog HDL 1

ELCT 501: Digital System Design

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

COE 561 Digital System Design & Synthesis Introduction

EE 4755 Digital Design Using Hardware Description Languages

Evolution of CAD Tools & Verilog HDL Definition

What is Xilinx Design Language?

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

EE 4755 Digital Design Using Hardware Description Languages

Digital Design Methodology

Digital Design Methodology (Revisited) Design Methodology: Big Picture

תכן חומרה בשפת VERILOG הפקולטה להנדסה

FPGA Based Digital Design Using Verilog HDL

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Programmable Logic Devices

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

CMPE 415 Programmable Logic Devices Introduction

DESIGN STRATEGIES & TOOLS UTILIZED

101-1 Under-Graduate Project Digital IC Design Flow

ISE Design Suite Software Manuals and Help

Lecture 3 Introduction to VHDL

The Optimization of a Design Using VHDL Concepts

Chapter 1 Overview of Digital Systems Design

Hardware Design with VHDL PLDs IV ECE 443

Advanced FPGA Design Methodologies with Xilinx Vivado

An Introduction to Programmable Logic

Functional Programming in Hardware Design

Designing with VHDL and FPGA

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

EEL 4783: Hardware/Software Co-design with FPGAs

Electronic Design Automation Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Don t expect to be able to write and debug your code during the lab session.

ASIC Design Flow. P.Radhakrishnan, Senior ASIC-Core Development Engineer, Toshiba, 1060, Rincon Circle, San Jose, CA (USA) Jan 2000 (Issue-3)

Introduction to Verilog HDL

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

CHAPTER 2 LITERATURE REVIEW

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Synthesis of VHDL Code for FPGA Design Flow Using Xilinx PlanAhead Tool

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Verilog. Reminder: Lab #1 due tonight! Fall 2008 Lecture 3

Verilog HDL is one of the two most common Hardware Description Languages (HDL) used by integrated circuit (IC) designers. The other one is VHDL.

Spiral 2-8. Cell Layout

Introduction to VHDL Design on Quartus II and DE2 Board

ASIC Products Application Note

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

Chapter 5: ASICs Vs. PLDs

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

A New Electronic System Level Methodology for Complex Chip Designs

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

RIZALAFANDE CHE ISMAIL TKT. 3, BLOK A, PPK MIKRO-e KOMPLEKS PENGAJIAN KUKUM. SYNTHESIS OF COMBINATIONAL LOGIC (Chapter 8)

Verilog Module 1 Introduction and Combinational Logic

EECS150 - Digital Design Lecture 8 - Hardware Description Languages

CHAPTER 3 METHODOLOGY. 3.1 Analysis of the Conventional High Speed 8-bits x 8-bits Wallace Tree Multiplier

Synthesizable Verilog

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

VHDL Sample Slides Rev Sample Slides from the 2-day and 4-day VHDL Training Courses

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

FPGA Design Flow 1. All About FPGA

A Brief Introduction to Verilog Hardware Definition Language (HDL)

CSE P567 - Winter 2010 Lab 1 Introduction to FGPA CAD Tools

Early Models in Silicon with SystemC synthesis

Hardware Synthesis. References

ECE 459/559 Secure & Trustworthy Computer Hardware Design

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

Overview of Digital Design Methodologies

LSN 1 Digital Design Flow for PLDs

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng.

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

CS232 VHDL Lecture. Types

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Lab 1: FPGA Physical Layout

MODELING LANGUAGES AND ABSTRACT MODELS. Giovanni De Micheli Stanford University. Chapter 3 in book, please read it.

Workspace for '4-FPGA' Page 1 (row 1, column 1)

PINE TRAINING ACADEMY

Design Issues in Hardware/Software Co-Design

Lab 1 Modular Design and Testbench Simulation ENGIN 341 Advanced Digital Design University of Massachusetts Boston

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Design Progression With VHDL Helps Accelerate The Digital System Designs

Glossary. AHDL A Hardware Description Language, such as Verilog-A, SpectreHDL, or VHDL-A, used to describe analog designs.

Hardware describing languages, high level tools and Synthesis

High Speed SPI Slave Implementation in FPGA using Verilog HDL

Lab 3 Verilog Simulation Mapping

PA GLS: The Power Aware Gate-level Simulation

Design of DMA Controller Using VHDL

Transcription:

RTL Coding General Concepts

Typical Digital System 2

Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable Logic Device (PLD) FPGA, etc. 3

Building Digital Systems 4

Computer-Aided Design Can't design FPGAs by hand Way too much logic to manage, hard to make changes Hardware description languages Specify functionality of logic at a high levelel Validation: high-level simulation to catch specification errors Verify pin-outs and connections to other system components Low-level to verify mapping and check performance Logic synthesis Process of compiling HDL program into logic gates and flip-flopsflops Technology mapping Map the logic onto elements available in the implementation technology (LUTs for Xilinx FPGAs) 5

CAD Tool Path (cont d) Placement and routing Assign logic blocks to functions Make wiring connections Timing i analysis - verify paths Determine delays as routed Look at critical paths and ways to improve Partitioning and constraining If design does not fit or is unroutable as placed split into multiple chips If design it too slow prioritize critical paths, fix placement of cells, etc. Few tools to help with these tasks exist today Generate programming files - bits to be loaded into chip for configuration 6

HDL Approach 7

Advantages of HDLs Allows designers to talk about what the hardware should do without actually designing g the hardware itself, or in other words HDLs allow designers to separate behavior from implementation at various levels of abstraction Designers can develop an executable functional specification that documents the exact behavior of all the components and their interfaces Designers can make decisions about cost, performance, power, and area earlier in the design process Designers can create tools which automatically manipulate the design for verification, synthesis, optimization, etc. 8

What Is a Hardware Description Language? g A Hardware Description Language (HDL) is a high level programming language that offers special constructs with which hyou can model dlmicroelectronic circuits. These special language constructs permit you to: Describe the operation of a circuit at various levels of abstraction The behavior of a circuit The function of a circuit The structure of a circuit Describe the timing of a circuit Express the concurrency of circuit operation 9

Features in Common HDLs share several features in common: An HDL usually contains both low level and high level constructs for modeling hardware at multiple levels of abstraction An HDL permits you to describe the timing characteristics and requirements of the hardware With an HDL you can express the concurrency of the hardware operation Software programming languages typically have no concept of time. In hardware, there are delays associated with going from an input to an output. An HDL allows you to model these delays because it has a concept of time. 10

Why Use an HDL? There are several benefits to using an HDL to describe your design: An HDL facilitates t a top down design methodology using synthesis You can design at a high implementation independent level You can delay decisions on implementation details You can easily explore design alternatives You can solve architectural problems before implementation You can automate mapping of your high level description to a technologyspecific implementation An HDL provides greater flexibility You can re use earlier design components You can move your design between multiple vendors and tools An HDL permits you to take advantage of mature software design practices You can more quickly capture your design intent You can more easily manage your design data 11

HDL is NOT a Software Programming Language! Software Programming Language Language which can be translated into machine instructions and then executed on a computer Hardware Description Language Language with syntactic and semantic support for modeling the temporal behavior and spatial structure of hardware 12

VHDL or Verilog? VHDL Commissioned in 1981 by Department of Defense; Now an IEEE standard Initially created for ASIC Synthesis Strongly typed; potential for verbose code Strong support for package management and dlarge designs ADA-like verbose syntax, lots of redundancy Design is composed of entities each of which can have multiple architectures Gate-level, dataflow, and behavioral modeling. Synthesizable subset. Harder to learn and use Verilog Created by Gateway Design Automation in 1985; Now an IEEE standard Initially an interpreted language for gatelevel simulation Less explicit typing (e.g., compiler will pad arguments of different widths) No special extensions for large designs C-like concise syntax Design is composed of modules which have just one implementation Gate-level, dataflow, and behavioral modeling. Synthesizable subset. Easy to learn and use 13

Verilog Example 14

VHDL Example 15

Why Verilog HDL is more Popular? Verilog HDL is a general purpose hardware description language that is easy to learn and easy to use. It is similar in syntax to the C programming language. Designers with C programming experience will find it easy to learn Verilog HDL. Verilog HDL allows different levels of abstraction to be mixed in the same model. Thus, a designer can define a hardware model in terms of switches, gates, RTL, or behavioral code. Also, a designer needs to learn only one language g for stimulus and hierarchical design. Most popular logic synthesis tools support Verilog HDL. This makes it the language of choice for designers. All fabrication vendors provide Verilog HDL libraries for post logic synthesis simulation. Thus, designing a chip in Verilog HDL allows the widest choice of vendors. The Programming Language Interface (PLI) is a powerful feature that allows the user to write custom C code to interact with the internal data structures of Verilog. Designers can customize a Verilog HDL simulator to their needs with the PLI. 16

Verilog History 1980 s: Gateway Design Automation developed Verilog 1990: Cadence acquired Gateway 1991: Cadence released Verilog to the public domain. Open Verilog International (OVI) formed to: Evolve and maintain Verilog Promote the use of Verilog 1995: IEEE ratified the Verilog LRM (Std. 1364) 2001: IEEE updated the Verilog LRM 17

Verilog Applications The Verilog HDL is used by: System architects doing high level system simulations Verification engineers writing advanced tests for all levels els of simulation ASIC and FPGA designers writing RTL code for synthesis Library developers describing ASIC or FPGA cells, or higher level components 18

Verilog Supported Levels of Abstraction The Verilog HDL supports three main levels of abstraction: Behavioral Describes a system by the flow of data between its functional blocks Defines signal values when they change Register Transfer Level (RTL) or Functional Describes a system by the flow of data and control signals between and within ihi its functional blocks Defines signal values with respect to a clock Structural Describes a system by connecting predefined components Uses technology specific, low level components when mapping from an RTL description to a gate level netlist, such as during synthesis 19

The Behavioral Level 20

The RTL Level 21

The Structural Level 22

One Language for All Levels 23