Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Similar documents
FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

ECE 261: Full Custom VLSI Design

EE586 VLSI Design. Partha Pande School of EECS Washington State University

Introduction to ICs and Transistor Fundamentals

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic

Intro to Logic Gates & Datasheets. Digital Electronics

This presentation will..

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Elettronica T moduli I e II

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

ELCT 501: Digital System Design

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

EITF35: Introduction to Structured VLSI Design

More Course Information

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

E 4.20 Introduction to Digital Integrated Circuit Design

Spiral 2-8. Cell Layout

FABRICATION TECHNOLOGIES

CS310 Embedded Computer Systems. Maeng

Chapter 2. Boolean Algebra and Logic Gates

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

VLSI Design I; A. Milenkovic 1

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

EE 434 ASIC & Digital Systems

VLSI Design Automation

CHAPTER 1 INTRODUCTION

Design Methodologies and Tools. Full-Custom Design

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

Curtis Nelson. Walla Walla College. Introduction CMOS VLSI Design

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

VLSI Design Automation

CMOS Process Flow. Layout CAD Tools

What is this class all about?

Integrated circuits and fabrication

An Introduction to Programmable Logic

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

Computer Architecture s Changing Definition

Problem 2 If the cost of a 12 inch wafer (actually 300mm) is $3500, what is the cost/die for the circuit in Problem 1.

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

Digital Fundamentals. Integrated Circuit Technologies

ELCT 503: Semiconductors. Fall Lecture 01: Introduction

Design Methodologies. Full-Custom Design

COMP3221: Microprocessors and. and Embedded Systems. Overview. Lecture 23: Memory Systems (I)

Chapter 1 Introduction

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Design Methodologies

ENEE 359a Digital VLSI Design

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Z-RAM Ultra-Dense Memory for 90nm and Below. Hot Chips David E. Fisch, Anant Singh, Greg Popov Innovative Silicon Inc.

Columbia Univerity Department of Electrical Engineering Fall, 2004

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER

Integrated Circuit Fabrication

Digital Design Methodology

EE3032 Introduction to VLSI Design

CMPEN 411. Spring Lecture 01: Introduction

MTJ-Based Nonvolatile Logic-in-Memory Architecture

Computer Architecture. R. Poss

VLSI Design Automation. Maurizio Palesi

Lecture (05) Boolean Algebra and Logic Gates

ECE 261: CMOS VLSI Design Methodologies

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

What is this class all about?

Process technology and introduction to physical

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

ECE 459/559 Secure & Trustworthy Computer Hardware Design

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

Integrated Circuits & Systems

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate

CMOS TECHNOLOGY- Chapter 2 in the Text

Introduction to Microprocessor

What is this class all about?

Design and Technology Trends

Advanced microprocessor systems

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

FPGA Programming Technology

An Overview of Standard Cell Based Digital VLSI Design

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1

C Program Adventures. From C code to motion

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Using ASIC circuits. What is ASIC. ASIC examples ASIC types and selection ASIC costs ASIC purchasing Trends in IC technologies

Moore s s Law, 40 years and Counting


EE241 - Spring 2004 Advanced Digital Integrated Circuits

TABLE OF CONTENTS III. Section 1. Executive Summary

CMPEN 411 VLSI Digital Circuits Spring Lecture 22: Memery, ROM

Evolution of CAD Tools & Verilog HDL Definition

EE141- Spring 2007 Introduction to Digital Integrated Circuits

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Metodologie di progetto HW Il test di circuiti digitali

ΔΙΑΛΕΞΗ 5: FPGA Programming Technologies (aka: how to connect/disconnect wires/gates)

Il pensiero parallelo: Una storia di innovazione aziendale

Magnetic core memory (1951) cm 2 ( bit)

Part 1 of 3 -Understand the hardware components of computer systems

Computer Architecture (TT 2012)

Chapter 6. Digital Components

Transcription:

Digital Integrated Circuits A Design Perspective Jan M. Rabaey

Outline (approximate) Introduction and Motivation The VLSI Design Process Details of the MOS Transistor Device Fabrication Design Rules CMOS circuits VLSI Structures System Timing Real Circuits and Performance

The First Computer The Babbage Difference Engine (1832) 25,000 parts cost: 17,470 Digital Integrated Circuits Introduction Prentice Hall 1995

ENIAC - The first electronic computer (1946) Digital Integrated Circuits Introduction Prentice Hall 1995

Evolution in Complexity Digital Integrated Circuits Introduction Prentice Hall 1995

What is CMOS VLSI? MOS = Metal Oxide Semiconductor (This used to mean a Metal gate over Oxide insulation) Now we use polycrystalline silicon which is deposited on the surface of the chip as a gate. We call this poly or just red stuff to distinguish it from the body of the chip, the substrate, which is a single crystal of silicon. We do use metal (aluminum) for interconnection wires on the surface of the chip.

CMOS:Complementary MOS Means we are using both N-channel and P- channel type enhancement mode Field Effect Transistors (FETs). Field Effect- NO current from the controlling electrode into the output FET is a voltage controlled current device BJT is a current controlled current device N/P Channel - doping of the substrate for increased carriers (electrons or holes)

N-Channel Enhancement mode MOS FET Four Terminal Device - substrate bias The self aligned gate - key to CMOS

VLSI:Very Large Scale Integration Integration: Integrated Circuits» multiple devices on one substrate How large is Very Large? SSI (small scale integration) 7400 series, 10-100 transistors MSI (medium scale) 74000 series 100-1000 LSI 1,000-10,000 transistors VLSI > 10,000 transistors ULSI/SLSI (some disagreement)

Intel 4004 Micro-Processor Digital Integrated Circuits Introduction Prentice Hall 1995

Evolution in Transistor Count Digital Integrated Circuits Introduction Prentice Hall 1995

Scale Example Consider a chip size of 20mm X 20mm Consider a transistor size of 2um X 2um» With area for wires, etc. 1x10 8 transistors / chip Or - plot at 1 transistor : 1 mm 1 chip : 20 meter x 20 meter plot

Intel Pentium (II) microprocessor Digital Integrated Circuits Introduction Prentice Hall 1995

VLSI Design But the real issue is that VLSI is about designing systems on chips. The designs are complex, and we need to use structured design techniques and sophisticated design tools to manage the complexity of the design. We also accept the fact that any technology we learn the details of will be out of date soon. We are trying to develop and use techniques that will transcend the technology, but still respect it.

The Process of VLSI Design: Consists of many different representations/abstractions of the system (chip) that is being designed. System Level Design Architecture / Algorithm Level Design Digital System Level Design Logical Level Design Electrical Level Design Layout Level Design Semiconductor Level Design (possibly more) Each abstraction/view is itself a Design Hierarchy of refinements which decompose the design.

Design Abstraction Levels SYSTEM + MODULE GATE CIRCUIT S n+ G DEVICE D n+ Digital Integrated Circuits Introduction Prentice Hall 1995

Help from Computer Aided Design tools Tools» Editors» Simulators» Libraries» Module Synthesis» Place/Route» Chip Assemblers» Silicon Compilers Experts» Logic design» Electronic/circuit design» Device physics» Artwork» Applications - system design» Architectures

New Design Methodologies Methodologies which are based on:» System Level Abstractions v.s. Device Characteristic Abstractions Logic structures and circuitry change slowly over time trade-offs do change, but the choices do not» Scalable Designs Layout techniques also change slowly. But the minimum feature size steadily decreases with time (also Voltage, Die Size, etc.)

Design Approaches Custom full control of design best results, slowest design time. Semi-custom (std cell) use Cell libraries from vendor cad tools, faster design time Gate Array fastest design time worst speed/power/density best low volume (worst high volume) EPLA/EPLD - FPGA - electrically programmable (in the field) -

Close up of Intel Chip? Time Magazine, July 1998

Evolution in Speed/Performance Digital Integrated Circuits Introduction Prentice Hall 1995

Bipolar (BJT) TTL, Schottky ECL Technologies I^2 L Dual Junction, current controlled devices MOS (FET unipolar)» NMOS, PMOS» CMOS <== our course Single Junction voltage controlled devices GaAs (typically JFET s) OEIC s - MQW s, Integrated Lasers,?

Silicon in 2010 Die Area: 2.5x2.5 cm Voltage: 0.6 V Technology: 0.07 µm Density Access Time (Gbits/cm2) (ns) DRAM 8.5 10 DRAM (Logic) 2.5 10 SRAM (Cache) 0.3 1.5 Density Max. Ave. Power Clock Rate (Mgates/cm2) (W /cm2) (GHz) Custom 25 54 3 Std. Cell 10 27 1.5 Gate Array 5 18 1 Single-Mask GA 2.5 12.5 0.7 FPGA 0.4 4.5 0.25 Digital Integrated Circuits Introduction Prentice Hall 1995

SIA -National Technology Roadmap for Semiconductors

SIA -National Technology Roadmap for Semiconductors 8 inch 18 inch

SIA -National Technology Roadmap for Semiconductors

SIA -National Technology Roadmap for Semiconductors

SIA -National Technology Roadmap for Semiconductors

SIA -National Technology Roadmap for Semiconductors