Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement

Size: px
Start display at page:

Download "Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement"

Transcription

1 EDA Institute for Electronic Design Automation Prof. Ulf Schlichtmann Abacus: Fast Legalization of Standard Cell Circuits with Minimal Movement Peter Spindler, Ulf Schlichtmann and Frank M. Johannes Technische Universitaet Muenchen ISPD, April 008

2 Outline Background State-of-the-Art Abacus, PlaceRow Experimental Results Conclusion Slide

3 Background Standard cell circuits: Millions of cells: inverter, NAND, NOR Physical representation: Rectangles, all have the same height, but different widths Placement: Align cells overlap-free to row structure. Global Placement Legalization. Legal Placement Legal placement: No overlap Cells aligned to rows Preserve global placement: minimal cell movement Slide 3

4 State-of-the-Art in Legalization State-of-the-Art: Flow based: assign cells to places Domino [Doll et al., TCAD 994] BonnPlace [Vygen et al., TCAD 004] Two stage: first assign cells to rows, then place the rows [Madden et al., ICCAD 003, Kahng et al., GLS-VLSI 004] Diffusion based [Alpert et al., DAC 005] Computational Geometry based [Alpert et al., DAC 007] Greedy: legalize one cell at a time: Tetris [Hill, Patent, 00] Slide 4

5 Abacus: Overview Abacus: Similar to Tetris: sort cells, legalize one cell at a time Legalization of one cell: move cell over the rows, place cell to best/nearest row Difference to Tetris: PlaceRow: move already legalized cells within one row, minimize total movement Because of PlaceRow: lower total movement Slide 5

6 Step : Sorting Sort cells according to x-pos in global placement Process order:,, 3, 4, 5, 6, 7, 8, 9 x Slide 6

7 Legalize Cell Cell to be legalized Row Row Insert to row Insert to row Insert to row 3 Best row: Row 3 Slide 7

8 Legalize Cell Cell to be legalized Row Row Row 3 Insert to row Insert to row PlaceRow : Minimize quadratic movement in Cell x-dir already of cells legalized to row Insert to row 3 Best row: 3 Slide 8

9 Legalize Cell 3 Row Row Row 3 Cell 3 to be legalized Cell already legalized to row 3 Insert to row Insert to row PlaceRow : Minimize quadratic movement in Cell x-dir already of cells legalized to row Insert to row 3 PlaceRow 3: Minimize quadratic movement in x-dir of cells Best row: Slide 9

10 Legalize Cell 4 Row Row Row 3 Cell 4 to be legalized Insert to row PlaceRow Insert to row PlaceRow Insert to row 3 PlaceRow 3 Best row: Already legalized cell is moved within the row Slide 0

11 Final Result Global Placement Abacus Tetris: No PlaceRow higher movement (about 50% more for a large circuit) Slide

12 Input: one row with N cells, x-pos of cells: global placement ( x i ) w w PlaceRow Output: new (legal) x-pos of cells ( x i ) such that the overlap is removed and the total quadratic movement is minimized Input: Output: QP: x x x x x 3 x 3 min N i= e i weight ( ) x i xi s.t. xi xi + wi global x-pos legal x-pos no overlap x width Slide

13 PlaceRow: Dynamic Programming PlaceRow: Solve QP by dynamic programming approach: solve sub problems optimally to obtain final solution Process cells from left to right Cell : first cell do not move Slide 3

14 PlaceRow, Cell w Cell : overlap with previous cell? yes cluster with previous cell Clustering process: x = x + w x ( x x ) + e ( x x ) min e Update x, e, and w : e x + e ( x w ) min ( e + e ) e e + e w w + w e + e ( x x ) min e Result: = x x x ex + e e + e new e new x ( x w ) Slide 4

15 PlaceRow, Cell (Cont d) Animation: Result: () Global: Cluster cell and Move cluster to new global x-pos Slide 5

16 PlaceRow, Cell 3 Cell 3: w w 3 3 Overlap with previous cell? yes cluster with previous cell Update x, e, and w : e x + e ( x w ) 3 3 x w w + w3 e + e3 e e + e3 x x = x ( x ) min e Move cell : Result: 3 (3) Prev: 3 Slide 6

17 PlaceRow, Cell 4 Cell 4: 4 Overlap with previous cell? no no clustering, no movement Slide 7

18 PlaceRow, Cell 5 Cell 5: 4 5 Overlap with previous cell? yes cluster with previous cell 4 Update x e4x 4 + e5 ( x 5 w4 4, e 4, and w 4 : ) x 4 e 4 e4 + e5 e4 + e5 w 4 w4 + w5 Move cell 4: min e ( ) 4 x4 x 4 x 4 = x Overlap with previous cell? yes cluster with previous cell (5) Result: 4 (4) (5) Prev: 4 5 Slide 8

19 PlaceRow, Cell 6 Cell 6: 6 Overlap with previous cell? no no clustering, no movement Last cell done, PlaceRow finished Slide 9

20 PlaceRow: Summary PlaceRow: Called several times for legalizing one cell Places cells aligned to one row: minimize quadratic movement quadratic program (QP) Solves QP by dynamic programming: Process cells from left to right If cell overlaps with previous cell: clustering movement further checks with left cells Clustering: update width, weight, and global x-pos of cell constant execution time Linear worst-case complexity: O(N) N: number of cells in the row At most N- clustering operations for N cells Slide 0

21 Complexity Worst-case for a complete circuit with N cells: Average-case (experimental results): O( N ) Θ( N. ) Slide

22 Movement Experimental results of one circuit: Abacus (this work) Abacus: more cells are moved less Tetris Tetris: more cells are moved farther Lower movement with Abacus Slide

23 Results IBM-Place.0 benchmark suite: Routability-driven placement Global placement: Kraftwerk (with routability optimization) Legalization: preserve global placement, minimal movement Results of Abacus (normalized to Tetris): Avg. movement Routed wirelength -3% -.% (negative: better positive: worse) Total CPU time place. +7% Slide 3

24 Conclusion Abacus: Greedy legalization approach, legalizes one cell at a time, similar to Tetris Already legalized cells are moved within the row: PlaceRow PlaceRow: minimize total quadratic movement, dynamic programming, linear worst-case complexity Results: lower movement than Tetris better results in routability-driven placement Slide 4

25 The End Thanks for the attention! Questions? Slide 5

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance

A Hierarchical Bin-Based Legalizer for Standard-Cell Designs with Minimal Disturbance A Hierarchical Bin-Based Legalizer for Standard- Designs with Minimal Disturbance Yu-Min Lee, Tsung-You Wu, and Po-Yi Chiang Department of Electrical Engineering National Chiao Tung University ASPDAC,

More information

Fast Legalization for Standard Cell Placement with Simultaneous Wirelength and Displacement Minimization

Fast Legalization for Standard Cell Placement with Simultaneous Wirelength and Displacement Minimization Fast Legalization for Standard Cell Placement with Simultaneous Wirelength and Displacement Minimization Tsung-Yi Ho and Sheng-Hung Liu Department of Computer Science and Information Engineering National

More information

Can Recursive Bisection Alone Produce Routable Placements?

Can Recursive Bisection Alone Produce Routable Placements? Supported by Cadence Can Recursive Bisection Alone Produce Routable Placements? Andrew E. Caldwell Andrew B. Kahng Igor L. Markov http://vlsicad.cs.ucla.edu Outline l Routability and the placement context

More information

Cell Density-driven Detailed Placement with Displacement Constraint

Cell Density-driven Detailed Placement with Displacement Constraint Cell Density-driven Detailed Placement with Displacement Constraint Wing-Kai Chow, Jian Kuang, Xu He, Wenzan Cai, Evangeline F. Y. Young Department of Computer Science and Engineering The Chinese University

More information

On Improving Recursive Bipartitioning-Based Placement

On Improving Recursive Bipartitioning-Based Placement Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 12-1-2003 On Improving Recursive Bipartitioning-Based Placement Chen Li Cheng-Kok Koh Follow this and additional

More information

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT

A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT Int. J. Chem. Sci.: 14(1), 2016, 503-511 ISSN 0972-768X www.sadgurupublications.com A SURVEY: ON VARIOUS PLACERS USED IN VLSI STANDARD CELL PLACEMENT AND MIXED CELL PLACEMENT M. SHUNMUGATHAMMAL a,b, C.

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement Motivation ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov University of Michigan at Ann Arbor Cong and Sarrafzadeh: state-of-the-art incremental placement techniques unfocused

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement

Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young Department of Computer Science and Engineering

More information

RippleFPGA: A Routability-Driven Placement for Large-Scale Heterogeneous FPGAs (Invited Paper)

RippleFPGA: A Routability-Driven Placement for Large-Scale Heterogeneous FPGAs (Invited Paper) RippleFPGA: A Routability-Driven Placement for Large-Scale Heterogeneous FPGAs (Invited Paper) Chak-Wa Pui, Gengjie Chen, Wing-Kai Chow, Ka-Chun Lam, Jian Kuang, Peishan Tu, Hang Zhang, Evangeline F. Y.

More information

Metal-Density Driven Placement for CMP Variation and Routability

Metal-Density Driven Placement for CMP Variation and Routability Metal-Density Driven Placement for CMP Variation and Routability ISPD-2008 Tung-Chieh Chen 1, Minsik Cho 2, David Z. Pan 2, and Yao-Wen Chang 1 1 Dept. of EE, National Taiwan University 2 Dept. of ECE,

More information

Detailed Placement Algorithm for VLSI Design with Double-Row Height Standard Cells

Detailed Placement Algorithm for VLSI Design with Double-Row Height Standard Cells Detailed Placement Algorithm for VLSI Design with Double-Row Height Standard Cells Gang Wu and Chris Chu Department of Electrical and Computer Engineering, Iowa State University, IA Email: {gangwu, cnchu}@iastate.edu

More information

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs

MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs MAPLE: Multilevel Adaptive PLacEment for Mixed Size Designs Myung Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji Dept. of EECS, University of Michigan IBM Corporation 1

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement To appear in Proceedings of ASPDAC 2007 ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov The University of Michigan, Department of EECS 2260 Hayward Ave., Ann Arbor, MI 48109-2121

More information

POLAR 3.0: An Ultrafast Global Placement Engine

POLAR 3.0: An Ultrafast Global Placement Engine POLAR 3.0: An Ultrafast Global Placement Engine Tao Lin Iowa State University tlin@iastate.edu Chris Chu Iowa State University cnchu@iastate.edu Gang Wu Iowa State University gangwu@iastate.edu Abstract

More information

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment

Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Symmetrical Buffered Clock-Tree Synthesis with Supply-Voltage Alignment Xin-Wei Shih, Tzu-Hsuan Hsu, Hsu-Chieh Lee, Yao-Wen Chang, Kai-Yuan Chao 2013.01.24 1 Outline 2 Clock Network Synthesis Clock network

More information

MAPLE: Multilevel Adaptive PLacEment for Mixed-Size Designs

MAPLE: Multilevel Adaptive PLacEment for Mixed-Size Designs MAPLE: Multilevel Adaptive PLacEment for Mixed-Size Designs Myung-Chul Kim, Natarajan Viswanathan, Charles J. Alpert, Igor L. Markov, Shyam Ramji University of Michigan, EECS Department, Ann Arbor, MI

More information

IN RECENT years, the role of placement in the physical design

IN RECENT years, the role of placement in the physical design 722 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 5, MAY 2005 FastPlace: Efficient Analytical Placement Using Cell Shifting, Iterative Local Refinement, and

More information

Multi-level Quadratic Placement for Standard Cell Designs

Multi-level Quadratic Placement for Standard Cell Designs CS258f Project Report Kenton Sze Kevin Chen 06.10.02 Prof Cong Multi-level Quadratic Placement for Standard Cell Designs Project Description/Objectives: The goal of this project was to provide an algorithm

More information

Unified Generation of Analog Sizing and Placement Constraints

Unified Generation of Analog Sizing and Placement Constraints Unified Generation of Analog Sizing and Placement Constraints Michael Eick and Helmut Graeb Institute for Prof. Dr.-Ing. Ulf Schlichtmann Overview Analog constraints Unified constraint generation flow

More information

ECO-system: Embracing the Change in Placement

ECO-system: Embracing the Change in Placement ECO-system: Embracing the Change in Placement Jarrod A. Roy and Igor L. Markov CSE-TR-519-06 June 20, 2006 THE UNIVERSITY OF MICHIGAN Computer Science and Engineering Division Department of Electrical

More information

DPlace: Anchor Cell based Quadratic Placement with Linear Objective

DPlace: Anchor Cell based Quadratic Placement with Linear Objective DPlace: Anchor Cell based Quadratic Placement with Linear Objective Tao Luo and David Z. Pan Department of Electrical and Computer Engineering The University of Texas at Austin {tluo, dpan}@ece.utexas.edu

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk

Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Circuit Placement: 2000-Caldwell,Kahng,Markov; 2002-Kennings,Markov; 2006-Kennings,Vorwerk Andrew A. Kennings, Univ. of Waterloo, Canada, http://gibbon.uwaterloo.ca/ akenning/ Igor L. Markov, Univ. of

More information

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Chen Li, Cheng-Kok Koh School of ECE, Purdue University West Lafayette, IN 47907, USA {li35, chengkok}@ecn.purdue.edu Patrick

More information

An integrated placement and routing approach

An integrated placement and routing approach Retrospective Theses and Dissertations 2006 An integrated placement and routing approach Min Pan Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/rtd Part of the Electrical

More information

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs

An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs JACKEY Z. YAN, Cadence Design Systems NATARAJAN VISWANATHAN, IBM CHRIS CHU, Iowa State University In this article we

More information

On Legalization of Row-Based Placements

On Legalization of Row-Based Placements On Legalization of Row-Based Placements Abstract Cell overlaps and pin blockages by power stripes in the results of global placement are guaranteed to prevent successful routing. However, common techniques

More information

AS process technology advances, the feature size is getting

AS process technology advances, the feature size is getting 18 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 7, NO. 7, JULY 008 NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density

More information

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University

PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO. IRIS Lab National Chiao Tung University PushPull: Short Path Padding for Timing Error Resilient Circuits YU-MING YANG IRIS HUI-RU JIANG SUNG-TING HO IRIS Lab National Chiao Tung University Outline Introduction Problem Formulation Algorithm -

More information

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure

Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Clock Tree Resynthesis for Multi-corner Multi-mode Timing Closure Subhendu Roy 1, Pavlos M. Mattheakis 2, Laurent Masse-Navette 2 and David Z. Pan 1 1 ECE Department, The University of Texas at Austin

More information

An Effective Congestion Driven Placement Framework

An Effective Congestion Driven Placement Framework An Effective Congestion Driven Placement Framework Ulrich Brenner Research Institute for Discrete Mathematics University of Bonn Germany brenner@or.uni-bonn.de André Rohe Research Institute for Discrete

More information

FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model

FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model FastPlace: Efficient Analytical Placement using Cell Shifting, Iterative Local Refinement and a Hybrid Net Model Natarajan Viswanathan nataraj@iastate.edu Chris Chong-Nuen Chu cnchu@iastate.edu Department

More information

Stitch Aware Detailed Placement for Multiple E-Beam Lithography

Stitch Aware Detailed Placement for Multiple E-Beam Lithography Stitch Aware Detailed Placement for Multiple E-Beam Lithography Yibo Lin 1, Bei Yu 2, Yi Zou 1,3, Zhuo Li 4, Charles J. Alpert 4, and David Z. Pan 1 1 ECE Department, University of Texas at Austin 2 CSE

More information

A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints

A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints A High-Quality Mixed-Size Analytical Placer Considering Preplaced Blocks and Density Constraints Tung-Chieh Chen, Zhe-Wei Jiang, Tien-Chang Hsu, Hsin-Chen Chen 2, and Yao-Wen Chang,2 Graduate Institute

More information

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling

Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Simultaneous OPC- and CMP-Aware Routing Based on Accurate Closed-Form Modeling Shao-Yun Fang, Chung-Wei Lin, Guang-Wan Liao, and Yao-Wen Chang March 26, 2013 Graduate Institute of Electronics Engineering

More information

An Effective Routability-driven Placer for Mixedsize Circuit Designs

An Effective Routability-driven Placer for Mixedsize Circuit Designs Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 4-18-2013 An Effective Routability-driven Placer for Mixedsize Circuit Designs Shuai Li School of Electrical and

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

Obstacle-aware Clock-tree Shaping during Placement

Obstacle-aware Clock-tree Shaping during Placement Obstacle-aware Clock-tree Shaping during Placement Dong-Jin Lee University of Michigan 2260 Hayward Street Ann Arbor, MI 48109-2121 ejdjsy@umich.edu Igor L. Markov University of Michigan 2260 Hayward Street

More information

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018

EDA for ONoCs: Achievements, Challenges, and Opportunities. Ulf Schlichtmann Dresden, March 23, 2018 EDA for ONoCs: Achievements, Challenges, and Opportunities Ulf Schlichtmann Dresden, March 23, 2018 1 Outline Placement PROTON (nonlinear) PLATON (force-directed) Maze Routing PlanarONoC Challenges Opportunities

More information

APlace: A High Quality, Large-Scale Analytical Placer

APlace: A High Quality, Large-Scale Analytical Placer 7 APlace: A High Quality, Large-Scale Analytical Placer Andrew B. Kahng 1, Sherief Reda 2 and Qinke Wang 1 1 Univeristy of California, San Diego 2 Brown University, Division of Engineering abk, qinke @cs.ucsd.edu,

More information

Keep it Straight: Teaching Placement how to Better Handle Designs with Datapaths

Keep it Straight: Teaching Placement how to Better Handle Designs with Datapaths Keep it Straight: Teaching Placement how to Better Handle Designs with Datapaths Samuel I. Ward, Myung-Chul Kim, Natarajan Viswanathan, Zhuo Li, Charles Alpert, Earl E. Swartzlander, Jr., David Z. Pan

More information

ISPD 2014 Detailed Routing-Driven Placement Contest Benchmark Design Suite A.

ISPD 2014 Detailed Routing-Driven Placement Contest Benchmark Design Suite A. ISPD 2014 Detailed Routing-Driven Placement Contest Benchmark Design Suite A www.ispd.cc/contests/14/ispd2014_contest.html December 19, 2013 Outline 1. Motivation 2. Sample Design Rules 3. Benchmark Suite

More information

Multilevel Global Placement With Congestion Control

Multilevel Global Placement With Congestion Control IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 4, APRIL 2003 395 Multilevel Global Placement With Congestion Control Chin-Chih Chang, Jason Cong, Fellow, IEEE,

More information

An Automated System for Checking Lithography Friendliness of Standard Cells

An Automated System for Checking Lithography Friendliness of Standard Cells An Automated System for Checking Lithography Friendliness of Standard Cells I-Lun Tseng, Senior Member, IEEE, Yongfu Li, Senior Member, IEEE, Valerio Perez, Vikas Tripathi, Zhao Chuan Lee, and Jonathan

More information

Multi-Million Gate FPGA Physical Design Challenges

Multi-Million Gate FPGA Physical Design Challenges Multi-Million Gate FPGA Physical Design Challenges Maogang Wang Cadence Design Systems mgwang@cadence.com Abhishek Ranjan Hier Design Inc ranjan@hierdesign.com Salil Raje Hier Design Inc salil@hierdesign.com

More information

Recursive Bisection Based Mixed Block Placement

Recursive Bisection Based Mixed Block Placement Recursive Bisection Based Mixed Block Placement Ateen Khatkhate 1 Chen Li 2 Ameya R. Agnihotri 1 Mehmet C. Yildiz 3 Satoshi Ono 1 Cheng-Kok Koh 2 Patrick H. Madden 1 SUNY Binghamton CSD 1 Purdue University

More information

Flow-based partitioning and position constraints in VLSI placement

Flow-based partitioning and position constraints in VLSI placement Flow-based partitioning and position constraints in VLSI placement Markus Struzyna Research Institute for Discrete Mathematics, University of Bonn Lennéstr. 2, 53113 Bonn, Germany struzyna@or.uni-bonn.de

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij

a) wire i with width (Wi) b) lij C coupled lij wire j with width (Wj) (x,y) (u,v) (u,v) (x,y) upper wiring (u,v) (x,y) (u,v) (x,y) lower wiring dij COUPLING AWARE ROUTING Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer Engineering Northwestern University kastner,elib,majid@ece.northwestern.edu ABSTRACT

More information

Constraint-Driven Floorplan Repair

Constraint-Driven Floorplan Repair Constraint-Driven Floorplan Repair MICHAEL D. MOFFITT, JARROD A. ROY, IGOR L. MARKOV, MARTHA E. POLLACK IBM Austin Research Lab University of Michigan, Ann Arbor In this work we propose a new and efficient

More information

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning

Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning Multilevel Algorithms for Multi-Constraint Hypergraph Partitioning George Karypis University of Minnesota, Department of Computer Science / Army HPC Research Center Minneapolis, MN 55455 Technical Report

More information

PADE: A High-Performance Placer with Automatic Datapath Extraction and Evaluation through High-Dimensional Data Learning

PADE: A High-Performance Placer with Automatic Datapath Extraction and Evaluation through High-Dimensional Data Learning PADE: A High-Performance Placer with Automatic Datapath Extraction and Evaluation through High-Dimensional Data Learning Samuel Ward, Duo Ding, David Z. Pan, ECE Dept. The University of Texas at Austin,

More information

Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement. Imran M. Rizvi John Antony K.

Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement. Imran M. Rizvi John Antony K. Term Paper for EE 680 Computer Aided Design of Digital Systems I Timber Wolf Algorithm for Placement By Imran M. Rizvi John Antony K. Manavalan TimberWolf Algorithm for Placement Abstract: Our goal was

More information

Optimality and Scalability Study of Existing Placement Algorithms

Optimality and Scalability Study of Existing Placement Algorithms Optimality and Scalability Study of Existing Placement Algorithms Abstract - Placement is an important step in the overall IC design process in DSM technologies, as it defines the on-chip interconnects,

More information

ECE260B CSE241A Winter Placement

ECE260B CSE241A Winter Placement ECE260B CSE241A Winter 2005 Placement Website: / courses/ ece260b- w05 ECE260B CSE241A Placement.1 Slides courtesy of Prof. Andrew B. Slides courtesy of Prof. Andrew B. Kahng VLSI Design Flow and Physical

More information

A Framework for Systematic Evaluation and Exploration of Design Rules

A Framework for Systematic Evaluation and Exploration of Design Rules A Framework for Systematic Evaluation and Exploration of Design Rules Rani S. Ghaida* and Prof. Puneet Gupta EE Dept., University of California, Los Angeles (rani@ee.ucla.edu), (puneet@ee.ucla.edu) Work

More information

Retiming & Pipelining over Global Interconnects

Retiming & Pipelining over Global Interconnects Retiming & Pipelining over Global Interconnects Jason Cong Computer Science Department University of California, Los Angeles cong@cs.ucla.edu http://cadlab.cs.ucla.edu/~cong Joint work with C. C. Chang,

More information

Unification of Partitioning, Placement and Floorplanning

Unification of Partitioning, Placement and Floorplanning Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya Synplicity Inc. 600 W. California Ave. Sunnyvale, CA 95054 saurabh@synplicity.com Shubhyant Chaturvedi Advanced Micro Devices Austin,

More information

PLACEMENT is one of the most important steps in the

PLACEMENT is one of the most important steps in the IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 27, NO. 8, AUGUST 2008 1349 A Robust Mixed-Size Legalization and Detailed Placement Algorithm Jason Cong, Fellow, IEEE,

More information

Large Scale Circuit Partitioning

Large Scale Circuit Partitioning Large Scale Circuit Partitioning With Loose/Stable Net Removal And Signal Flow Based Clustering Jason Cong Honching Li Sung-Kyu Lim Dongmin Xu UCLA VLSI CAD Lab Toshiyuki Shibuya Fujitsu Lab, LTD Support

More information

Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes

Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Mixed Cell-Height Implementation for Improved Design Quality in Advanced Nodes Sorin Dobre, Andrew B. Kahng + and Jiajia Li UC San Diego, ECE and + CSE Depts., La Jolla, CA 92093, {abk, jil150}@ucsd.edu

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

TRIPLE patterning lithography (TPL) is regarded as

TRIPLE patterning lithography (TPL) is regarded as IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 4, APRIL 2016 1319 Triple Patterning Lithography Aware Optimization and Detailed Placement Algorithms for Standard Cell-Based

More information

Timing Driven Force Directed Placement with Physical Net Constraints

Timing Driven Force Directed Placement with Physical Net Constraints Timing Driven Force Directed Placement with Physical Net Constraints Karthik Rajagopal Tal Shaked & University of Washington Yegna Parasuram Tung Cao Amit Chowdhary Bill Halpin & Syracuse University ABSTRACT

More information

EE582 Physical Design Automation of VLSI Circuits and Systems

EE582 Physical Design Automation of VLSI Circuits and Systems EE582 Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries Table of Contents Semiconductor manufacturing Problems to solve Algorithm complexity

More information

Digital VLSI Design. Lecture 7: Placement

Digital VLSI Design. Lecture 7: Placement Digital VLSI Design Lecture 7: Placement Semester A, 2016-17 Lecturer: Dr. Adam Teman 29 December 2016 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied from

More information

Introduction of ISPD18 Contest Problem

Introduction of ISPD18 Contest Problem Introduction of ISPD18 Contest Problem Detailed routing can be divided into two steps. First, an initial detailed routing step is used to generate a detailed routing solution while handling the major design

More information

Prediction and Reduction of Routing Congestion

Prediction and Reduction of Routing Congestion rediction and Reduction of Routing Congestion Mehdi Saeedi Morteza Saheb Zamani Ali Jahanian Amirkabir University of Technology Computer Engineering Department Tehran, Iran {saeedi, szamani, jahanian}@ce.aut.ac.ir

More information

Improving Min-cut Placement for VLSI Using Analytical Techniques

Improving Min-cut Placement for VLSI Using Analytical Techniques Improving Min-cut Placement for VLSI Using Analytical Techniques Saurabh N. Adya University of Michigan EECS Department Ann Arbor, MI 19-1 sadya@eecs.umich.edu Igor L. Markov University of Michigan EECS

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

POLAR: a high performance mixed-size wirelengh-driven placer with density constraints

POLAR: a high performance mixed-size wirelengh-driven placer with density constraints 1 POLAR: a high performance mixed-size wirelengh-driven placer with density constraints Tao Lin, Chris Chu, Joseph R. Shinnerl, Ismail Bustany, Ivailo Nedelchev Iowa State University Mentor Graphics Corporation

More information

Congestion Reduction during Placement with Provably Good Approximation Bound 1

Congestion Reduction during Placement with Provably Good Approximation Bound 1 Congestion Reduction during Placement with Provably Good Approximation Bound 1 Xiaojian Yang Maogang Wang Ryan Kastner Soheil Ghiasi Majid Sarrafzadeh Synplicity Inc. Sunnyvale, California Cadence Design

More information

Jezz: An Effective Legalization Algorithm For Minimum Overall Displacement

Jezz: An Effective Legalization Algorithm For Minimum Overall Displacement UNIVERSIDADE FEDERAL DO RIO GRANDE DO SUL INSTITUTO DE INFORMÁTICA CURSO DE ENGENHARIA DE COMPUTAÇÃO JULIA CASARIN PUGET Jezz: An Effective Legalization Algorithm For Minimum Overall Displacement Work

More information

Two Efficient Algorithms for VLSI Floorplanning. Chris Holmes Peter Sassone

Two Efficient Algorithms for VLSI Floorplanning. Chris Holmes Peter Sassone Two Efficient Algorithms for VLSI Floorplanning Chris Holmes Peter Sassone ECE 8823A July 26, 2002 1 Table of Contents 1. Introduction 2. Traditional Annealing 3. Enhanced Annealing 4. Contiguous Placement

More information

CAD Flow for FPGAs Introduction

CAD Flow for FPGAs Introduction CAD Flow for FPGAs Introduction What is EDA? o EDA Electronic Design Automation or (CAD) o Methodologies, algorithms and tools, which assist and automatethe design, verification, and testing of electronic

More information

A Novel Framework for Multilevel Full-Chip Gridless Routing

A Novel Framework for Multilevel Full-Chip Gridless Routing A Novel Framework for Multilevel Full-Chip Gridless Routing Tai-Chen Chen Yao-Wen Chang Shyh-Chang Lin Graduate Institute of Electronics Engineering Graduate Institute of Electronics Engineering SpringSoft,

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

CATALYST: Planning Layer Directives for Effective Design Closure

CATALYST: Planning Layer Directives for Effective Design Closure CATALYST: Planning Layer Directives for Effective Design Closure Yaoguang Wei 1, Zhuo Li 2, Cliff Sze 2 Shiyan Hu 3, Charles J. Alpert 2, Sachin S. Sapatnekar 1 1 Department of Electrical and Computer

More information

Stitch Aware Detailed Placement for Multiple E-Beam Lithography

Stitch Aware Detailed Placement for Multiple E-Beam Lithography Stitch Aware Detailed Placement for Multiple E-Beam Lithography Yibo Lin 1, Bei Yu 2,YiZou 1,3, Zhuo Li 4, Charles J. Alpert 4, and David Z. Pan 1 1 ECE Department, University of Texas at Austin, Austin,

More information

Handling Complexities in Modern Large-Scale Mixed-Size Placement

Handling Complexities in Modern Large-Scale Mixed-Size Placement Handling Complexities in Modern Large-Scale Mixed-Size Placement Jackey Z. Yan Natarajan Viswanathan Chris Chu Department of Electrical and Computer Engineering Iowa State University, mes, I 50010 {zijunyan,

More information

A New Incremental Placement Algorithm and its Application to Congestion-Aware Divisor Extraction

A New Incremental Placement Algorithm and its Application to Congestion-Aware Divisor Extraction A New Incremental Placement Algorithm and its Application to Congestion-Aware Divisor Extraction Satrajit Chatterjee and Robert Brayton Department of EECS University of California at Berkeley Berkeley,

More information

Essential Issues in Analytical Placement Algorithms

Essential Issues in Analytical Placement Algorithms Invited Paper Essential Issues in Analytical Placement Algorithms Yao-Wen Chang, 1, 2 Zhe-Wei Jiang 2 and Tung-Chieh Chen 3 The placement problem is to place objects into a fixed die such that no objects

More information

Rsyn An Extensible Physical Synthesis Framework

Rsyn An Extensible Physical Synthesis Framework Rsyn An Extensible Physical Synthesis Framework Guilherme Flach, Mateus Fogaça, Jucemar Monteiro, Marcelo Johann and Ricardo Reis Universidade Federal do Rio Grande do Sul (UFRGS) - Instituto de Informática

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007

Minimizing Power Dissipation during. University of Southern California Los Angeles CA August 28 th, 2007 Minimizing Power Dissipation during Write Operation to Register Files Kimish Patel, Wonbok Lee, Massoud Pedram University of Southern California Los Angeles CA August 28 th, 2007 Introduction Outline Conditional

More information

A Novel Net Weighting Algorithm for Timing-Driven Placement

A Novel Net Weighting Algorithm for Timing-Driven Placement A Novel Net Weighting Algorithm for Timing-Driven Placement Tim (Tianming) Kong Aplus Design Technologies, Inc. 10850 Wilshire Blvd., Suite #370 Los Angeles, CA 90024 Abstract Net weighting for timing-driven

More information

THE TASK of very large scale integration (VLSI) placement

THE TASK of very large scale integration (VLSI) placement 678 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 4, APRIL 2006 A Fast Hierarchical Quadratic Placement Algorithm Gi-Joon Nam, Member, IEEE, Sherief Reda,

More information

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim

Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim Tutorial I: Cadence Innovus ECE6133: Physical Design Automation of VLSI Systems Georgia Institute of Technology Prof. Sung Kyu Lim I. Setup for Cadence Innovus 1. Copy the following files into your working

More information

ITOP: Integrating Timing Optimization within Placement

ITOP: Integrating Timing Optimization within Placement ITOP: Integrating Timing Optimization within Placement Natarajan Viswanathan, Gi-Joon Nam, Jarrod A. Roy, Zhuo Li, Charles J. Alpert, Shyam Ramji, Chris Chu IBM Austin Research Laboratory, 11501 Burnet

More information

Thermal-Aware 3D IC Placement Via Transformation

Thermal-Aware 3D IC Placement Via Transformation Thermal-Aware 3D IC Placement Via Transformation Jason Cong, Guojie Luo, Jie Wei and Yan Zhang Department of Computer Science University of California, Los Angeles Los Angeles, CA 90095 Email: { cong,

More information

Congestion Reduction during Placement with Provably Good Approximation Bound

Congestion Reduction during Placement with Provably Good Approximation Bound Congestion Reduction during Placement with Provably Good Approximation Bound X. YANG Synplicity, Inc. M. WANG Cadence Design Systems, Inc. R. KASTNER University of California, Santa Barbara and S. GHIASI

More information

SimPL: An Algorithm for Placing VLSI Circuits By Myung-Chul Kim, Dong-Jin Lee, and Igor L. Markov

SimPL: An Algorithm for Placing VLSI Circuits By Myung-Chul Kim, Dong-Jin Lee, and Igor L. Markov SimPL: An Algorithm for Placing VLSI Circuits By Myung-Chul Kim, Dong-Jin Lee, and Igor L. Markov doi:1.1145/2461256.2461279 Abstract VLSI placement optimizes locations of circuit components so as to reduce

More information

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement

Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Minimum Implant Area-Aware Placement and Threshold Voltage Refinement Seong-I Lei Wai-Kei Ma Chris Chu Department of Computer Science Department of Computer Science Department of Electrical and Computer

More information

Tree Structure and Algorithms for Physical Design

Tree Structure and Algorithms for Physical Design Tree Structure and Algorithms for Physical Design Chung Kuan Cheng, Ronald Graham, Ilgweon Kang, Dongwon Park and Xinyuan Wang CSE and ECE Departments UC San Diego Outline: Introduction Ancestor Trees

More information

Keeping Physical Synthesis Safe and Sound

Keeping Physical Synthesis Safe and Sound Keeping Physical Synthesis Safe and Sound Kai-hui Chang, Igor L. Markov, Valeria Bertacco EECS Department, University of Michigan, Ann Arbor, MI 48109-2121 {changkh, imarkov, valeria}@umich.edu ABSTRACT

More information

Placement techniques for the physical synthesis of nanometer-scale integrated circuits

Placement techniques for the physical synthesis of nanometer-scale integrated circuits Graduate Theses and Dissertations Graduate College 2009 Placement techniques for the physical synthesis of nanometer-scale integrated circuits Natarajan Viswanathan Iowa State University Follow this and

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information