An Effective Memory Addressing Scheme for FFT Processors

Size: px
Start display at page:

Download "An Effective Memory Addressing Scheme for FFT Processors"

Transcription

1 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 3, MARCH in the proposed algorithm, which may improve the regularity of the structure, is currently under investigation. REFERENCES [1] R. K. Rao and P. Yip, Discrete Cosine Transform: Algorithm, Advantages, and Applications. New York: Academic, [2] M. J. Narasimha and A. M. Peterson, On the computation of the discrete cosine transform, IEEE Trans. Commun., vol. COMM-26, pp , June [3] H. S. Hou, A fast recursive algorithms for computing the discrete cosine transform, IEEE Trans. Acoust., Speech, Signal Processing, vol. ASSP-35, pp , Oct [4] P. Lee and F.-Y. Huang, Restructured recursive DCT and DST algorithms, IEEE Trans. Signal Processing, vol. 42, pp , July [5] P. Duhamel and C. Guillemot, Polynomial transform computation of 2-D DCT, in Proc. ICASSP, Apr. 1990, pp [6] E. Feig and S. Winograd, Fast algorithms for the discrete cosine transform, IEEE Trans. Signal Processing, vol. 40, pp , Sept [7] N. I. Cho and S. U. Lee, Fast algorithm and implementation of 2-D DCT, IEEE Trans. Circuits Syst., vol. 38, pp , Mar [8] N. I. Cho, I. D. Yun, and S. U. Lee, On the regular structure for the fast 2-D DCT algorithm, IEEE Trans. Circuits Syst., vol. 40, pp , Apr An Effective Memory Addressing Scheme for FFT Processors Yutai Ma Abstract The memory organization of FFT processors is considered. The new memory addressing assignment allows simultaneous access to all the data needed for butterfly calculations. The advantage of this memory addressing scheme lies in the fact that it reduces the delay of address generation nearly by half compared to existing ones. (c) Fig. 2. (Continued). Signal flow graph for DCT. (c) Second, third, and fourth stages of the post-addition stages (for n is odd). III. COMPLEXITY ANALYSIS OF THE POST-ADDITION STAGES For the post-addition stages, let A(N ) and B(N ), respectively, denote the number of all required additions and the number of additions required in the final stage, and let C(N) denote the number of nodes that do not require butterfly computations in the first log 2 N stages. From (13) and (16), we have C(4) = 2 and C(N) = C(N=2) + N=2 for N 8, and B(N ) =N 2 0 2N. Therefore, A(N )=N 2 log 2 N 0C(N)+B(N )=N 2 (1+log 2 N )03N +2. IV. CONCLUSIONS An index-permutation based 2-D DCT algorithm has been presented in this correspondence. The succinct derivation of the proposed algorithm makes it easier to describe the process of how to map one 2-D DCT into a number of 1-D DCT s. From the idea of [8], a matrix-form-based systematic expression for the post-addition stages I. INTRODUCTION Many high-speed FFT processors have been obtained by implementing the fast Fourier transform in pipelined digital hardware with a butterfly calculation unit, two-port data memory, ROM for storing twiddle factors, and memory addressing controller integrated on a chip. It is possible to use an in-place strategy that stores butterfly outputs in those memory locations used by the inputs to the same butterfly. The in-place strategy requires only a minimum amount of memory. For this reason, only the in-place radix-2 decimation-in-time version of the fast Fourier transform is considered here. If the butterfly unit has parallel inputs and outputs, then the two butterfly inputs will be accessed in the memory, and two butterfly outputs will be written back to the same memory in each cycle. In order to avoid this memory bottleneck, the two-port memory module Manuscript received May 23, 1997; revised July 22, This work was supported by the National Key Project of Fundamental Research, P.R. China. The associate editor coordinating the review of this paper and approving it for publication was Dr. Elias S. Manolakos. The author was with the Center for High Performance Computing, Institute of Computing Technology, Chinese Academy of Sciences, Beijing, P. R. China. He is now with the Department of Electrical Engineering, Linköping University, Linköping, Sweden. Publisher Item Identifier S X(99) X/99$ IEEE

2 908 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 3, MARCH 1999 is always divided into two separate banks so that two data values can be read and two data values written on each memory cycle. Pease [4] observed that the addresses of the two inputs differ in their parity. It is possible to divide the memory into two banks: one bank with address parity even and the other with parity odd. Based on this observation, Cohen proposed a simplified control logic for radix-2 FFT processors. Johnson [2] arranged the memory module in their radix-r FFT processor in a similar way. The demerit of their strategies is that the address parity should be calculated before accessing to memory and the delay of parity calculation is large. Sinha [5] presented an interesting approach to memory assignment. The approach uses the well-known triple loop control structure. Therefore, the address generation in their approach is complicated, and its delay is large. The processing speed or throughput of the FFT processor is dominated by its pipeline cycle or its clock rate. The disadvantages of existing methods [1], [5] are that their address generation delays are near that of a carry lookahead adder. This problem will limit the clock rate of butterfly unit for large transforms. The objective of our work is to shorten the address generation delay, which is helpful in increasing the clock rate at which FFT processors can operate. Therefore, our work makes it possible to improve the performance of FFT processors. Fig. 1. Signal flowgraph of 16-point FFT algorithm. II. RADIX-2 FFT ALGORITHM AND ADDRESS GENERATION FOR BUTTERFLY OPERATIONS The discrete fast Fourier transform of the N-point is defined by N01 Xk = m=0 xm 1 W mk N (1) where WN mk = e 0j(2k=N) ; and k =0; 1; 111;N 0 1: The radix-2 FFT is an efficient way to compute an N-point DFT, which is shown in Fig. 1. We assume that the inputs are arranged in a bit reverse order and that the outputs are produced in a normal order. Define Xk(01) = xm with k = rev(m) (bit reverse number corresponding to m). The butterfly calculations at pass p, which are shown in Fig. 1, are expressed by where Xs(p) =Xs(p 0 1) + Xt(p 0 1) 1 W c N (2) Xt(p) =Xs(p 0 1) 0 Xt(p 0 1) 1 W c N (3) s = l +2 p+1 g (4) t = s +2 p (5) l =0; 1; 111; 2 p 0 1 (6) g =0; 1; 111; 2 n010p 0 1 (7) c =2 n010p 1 l (8) p =0; 1; 111;n0 1 (9) N =2 n : (10) Cohen [1] proposed a simple approach to generating s and t: two input addresses of butterfly operations. Denote by b and p the butterfly operation and pass indices, respectively. Their bitwidths are (n 0 1) and dlog 2 ne, respectively. Denote rotating x left over i bits by RL(x; i): With these notations, the two input addresses of butterfly operations are given by s = RL(2b; p) (11) t = RL(2b +1;p) (12) where p =0; 1; 111;n0 1: It is easy to understand the principle by looking at (4) and (5). Note that the twiddle factor address can be generated by using an operation similar to a shift left operation. Since the address generation logic is very simple and its delay is only a half that of the data address generation, the twiddle factor address generation is not discussed here. III. AN EFFECTIVE CONFLICT-FREE MEMORY ADDRESSING ASSIGNMENT A. Address Generation for Parallel Data Access Equations (4) and (5) indicate that the addresses s and t differ only in the pth bit at pass p: Let the binary notation of s at pass p be given by s = sn01sn02 111sp+10sp01 111s 1 s 0 : Based on (4) and (5), we have t = sn01sn02 111sp+11sp01 111s 1 s0: Denote sr = tr = sn01sn02 111sp+1sp01 111s 1s 0: We place Xs(p 0 1) and Xt(p 0 1) in addresses sr and tr of memory banks M 0 and M 1, respectively. This assignment allows the pair of butterfly inputs Xs(p 0 1) and Xt(p 0 1) to be accessed in a conflict-free manner. Furthermore, the memory banks to store Xs(p) and Xt(p) are determined according to the value of s (p+1) (s (p+1) = b 0 ): This indicates that the pair of butterfly outputs at pass p; Xs(p); and Xt(p) are located in the same memory bank. With this assignment, the two inputs of butterfly operations at pass (p +1) can be accessed simultaneously. Based on the above insight, we propose a memory addressing assignment for the inputs and outputs of butterfly operations, which is shown in Table I. In Table I, we denote a butterfly counter and pass counter by b and p, respectively, and the binary notation of b is given by b = bn02bn03 111b 1b 0: Define As = bn02bn03 111b 10 and At = bn02bn03 111b 1 1: The address generation logic for read and write operations are depicted in Figs. 2 and 3, respectively. B. The Properties of Our Memory Addressing Assignment We need to analyze the properties of our strategy to ensure its correctness. 1) Conflict-Free Addressing for Reads: The insight mentioned above shows that Xs(p 0 1) and Xt(p 0 1) can be accessed in parallel.

3 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 3, MARCH TABLE I MEMORY ADDRESSING FOR BUTTERFLY OPERATIONS TABLE II ADDRESS ASSIGNMENT FOR THE SAMPLED DATA X k (01);k = k n01 k n02 111k 1 k 0 TABLE III ADDRESS ASSIGMENT FOR FFT OUTPUT X k (n 0 1);k = kn01kn02 111k 1 k 0 given by b = b n02 b n03 111b 1 b 0 and b 0 =0, and the one for the next butterfly operation is given by b 0 = b n02 b n03 111b 1 1: We have A s = A s = b n02 b n03 111b 1 0 A t = A t = b n02 b n03 111b 1 1: Fig. 2. Address generation for reads. Based on our strategy presented in the previous subsection, we obtain a memory addressing assignment, which is given below. Addresses for Read/Write Memory Banks s r = RL(b; p) M 0 t r = RL(b; p) M 1 s w = RL(A s;p)=rl(b; p) M 0 t w = RL(A t ;p)=rl(b 0 ;p) M 0 s 0 r = RL(b 0 ;p) M 0 t 0 r = RL(b 0 ;p) M 1 s 0 w = RL(A s ;p)=rl(b; p) M 1 t 0 w = RL(A t ;p)=rl(b 0 ;p) M 1 We see that X s(p) and X t (p) are written back to the same locations, where X s (p01) and X t (p01) reside, respectively. However, X t (p) needs to overwrite the location of X s (p 0 1), and X s (p) needs to overwrite the location of X t(p 0 1), respectively. Fortunately, since memory reads, butterfly calculations, and memory writes build up a pipeline to compute a FFT, X s (p 0 1) has been loaded into butterfly unit before X t(p) is overwritten to its location. Therefore, the input reading of one butterfly and the output writing of the previous butterfly can be performed without conflict. Fig. 3. Address generation for writes. IV. MEMORY ADDRESSING FOR THE INPUT/OUTPUT OF FFT PROCESSORS 2) Conflict-Free Addressing for Writes: We know that the outputs of butterfly operations at pass p; X s (p); and X t (p) belong to the same memory bank. At the end of a butterfly calculation, X s (p) is written to a memory bank, whereas X t(p) is stored in a register, and it will be written to the same memory bank in the next memory cycle. Table I shows that if the outputs of one butterfly calculation belong to memory bank 0, then the outputs of the next butterfly calculation will belong to memory bank 1. Therefore, writing the outputs of two adjacent butterfly operations can be performed simultaneously. 3) Conflict-Free Addressing for Reads and Writes Simultaneously: Let the input and output addresses of one butterfly operation be s r;t r;s w; and t w, respectively, and the input and output addresses of the next butterfly operation be s 0 r;t 0 r;s 0 w; and t 0 w, respectively. Assume the value of butterfly counter for one butterfly operation is A. Memory Addressing for Input When sampled data X k (01) [see Section II, X k (01) = x m, with k = rev(m)] are loaded into an FFT processor, the data should be placed in such a way that the inputs of butterfly operations at the zeroth pass (p =0)can be accessed concurrently. We choose a memory bank to place the sampled data according to the least significant bit of its index, as shown in Table II. B. Memory Addressing for Output Based on our strategy, the memory banks storing the final results are determined according to the least significant bit of their indices as the assignment for input described in the previous subsection. However, the address within each memory bank should be modified. The locations of FFT outputs are shown in Table III.

4 910 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 3, MARCH 1999 TABLE IV DELAY COMPARISONS OF COHEN AND SINHA S DESIGNS [1], [5], AND OURS TABLE V HARDWARE COMPLEXITY COMPARISONS OF COHEN AND SINHA S DESIGNS [1], [5], AND OURS V. TIME DELAY AND HARDWARE COMPLEXITY COMPARISONS A. Delay Analysis and Comparisons of Our Design and The Designs of [1] and [5] It is reasonable to assume that the delays of some basic circuits are as follows: Types of Circuits Delays Exclusive OR;T xor 2T and Multiplexer;T mux 2T and n-bit Barrel Shifter;T rl T and dlog 2 ne + T and n-bit Carry Lookahead 2T and blog 2 (n 0 1)c Adder;T adder +4T and In Cohen s scheme [1], a cyclic shift on a butterfly counter should be performed, and address parity should be calculated before a read or write is performed. Taking the two operatons of address and data multiplexing (interchanges) into account, the total delays of address generation for reads and writes are given by T read = T write = maxft rl ;T parity g +2T mux =2T and dlog 2 (n 0 1)e +4T and : Sinha [5] used the control method of three separate iteration loops to compute the fast Fourier transform. An addition should be done in the address generation (see [5, step 18, pp. 22]). Taking the branch operation implemented by using a multiplexer (see [5, steps 14 and 15, pp. 22]) into consideration, the delay of address generation is given by T read = T adder + T mux =2T and blog 2 (n 0 1)c +6T and : For our scheme, the delay of address generation for reads is dominated by the delay of cyclic shift operation, which is given by T read = T rl = T and dlog 2 ne + T and : Since storing X t(p) in a register does not add an additional delay to that of address generation and the register access time of write operation is less than that of memory, the delay of address generation for writes is given by T write = T rl + T mux = T and dlog 2 ne +3T and : The time delay comparisons of Cohen and Sinha s designs [1], [5] and ours are summarized in Table IV. Compared with [1] and [5], our scheme reduces the delay of address generation nearly by half. B. Hardware Complexity Comparisons The size of each address generation circuit is approximated to a first order by the number of gates and transistors. The actual area required for a given circuit will depend on the types of gates, the number of gates, and the amount of wiring area, but the relative sizes are consistent with the gate counts. In addition, the wiring complexity of our design is comparable with that of Cohen s design [1]. To simplify the analysis and comparisons, we use the gate count and transistor count as a hardware complexity measure. The complexity comparisons of the scheme in this correspondence and the other two schemes are shown in Table V. The comparisons are based on 1024-point FFT processors with data representation of 20 bits (corresponding to 40 bits representation for a complex data). In addition, the address generation circuits for reads and writes are provided separately in our and Cohen s schemes. We assume that in CMOS technology the sizes of some basic gates and circuits are as follows: Types of Gates and Circuits Number of Transistors 2-Input XOR 10 2-Input Multiplexer 6 1-bit Register/Latch 10 9-bit Counter bit Counter bit barrel Shifter bit barrel Shifter bit CLA bit Comparitor E = D [5] bit Comparitor F = C [5] 72 We see from Table V that the hardware complexity of our scheme is comparable with Cohen s design [1]. This point stays true with an increase in bitwidth of data representation (the bitwidth 32). Although the hardware complexity of our scheme is higher than that of Sinha s design [5], their FFT processor is not pipelined. If their processor is pipelined, then the complexity of their address generation circuit will be higher than ours. VI. SUMMARY We have proposed an effective approach to the memory addressing of FFT processors, which is simple and is suitable for pipelined FFT processor implementations. The analysis and comparisons we made show that the delay associated with address generation is reduced nearly by half with equivalent hardware complexity compared with Cohen s design [1]. Two effective memory addressing schemes for the input and output of FFT processors are also given. With our strategy, a powerful FFT processor can be implemented efficiently. ACKNOWLEDGMENT The author is grateful to the reviewers for their suggestions and comments that improved greatly the paper s quality.

5 IEEE TRANSACTIONS ON SIGNAL PROCESSING, VOL. 47, NO. 3, MARCH REFERENCES [1] D. Cohen, Simplified control of FFT hardware, IEEE Trans. Acoust., Speech, Signal Processing, vol. ASSP-24, pp , Dec [2] L. G. Johnson, Conflict free memory addressing for dedicated FFT hardware, IEEE Trans. Circuits Syst. II, vol. 39, pp , May [3] T. F. Ngai, M. J. Irwin, and S. Rawat, Regular, area-time efficient carry lookahead adders, J. Parallel Distrib. Comput., vol. 3, no. 1, pp , Mar [4] M. C. Pease, Organization of large scale fourier processors, J. Assoc. Comput. Mach., vol. 16, pp , July [5] B. P. Sinha, J. Dattagupta, and A. Sen, A cost effective FFT processor using memory segmentation, in Proc. IEEE ISCAS, 1983, vol. 1, pp A Low-Power Phase-Splitting Adaptive Equalizer for High Bit-Rate Communication Systems Rajamohana Hegde and Naresh R. Shanbhag Abstract A low-power architecture for a phase-splitting passband equalizer (PSPE) is proposed in this correspondence. The Hilbert relationship between the in-phase and quadrature-phase equalizers in the PSPE is exploited to develop the proposed architecture. It is shown via analysis and simulations that in a Mb/s ATM-LAN environment, the proposed receiver results in 1) a net saving in power if the length of the Hilbert filter is less than 130, and 2) a saving of up to 20% can be achieved with a degradation in signal-to-noise ratio of less than 0.5 db. Index Terms ATM-LAN, CAP, Hilbert transform, low-power. I. INTRODUCTION In recent years, the development of low-power devices for applications in fields of communications and DSP has become an active area of research due to the proliferation of mobile communication systems. It is for this reason that numerous power reduction techniques have been proposed starting at the algorithmic-level [1] [4], architectural level [5], logic level [6], and the circuit level [1]. These techniques are currently being applied to develop low-power and high-speed transceivers for applications such as asymmetric digital subscriber loop (ADSL) [7], high-speed digital subscriber loop [8], and ATM-LAN [9] to achieve high bit rate digital communication over bandlimited channels. The transceivers in most of these applications employ some form of adaptive equalization at the receiving end to combat corruption of the transmitted signal due to several sources of distortion such as intersymbol interference (ISI), crosstalk, and additive noise. In many of these applications, transmission schemes such as quadrature amplitude modulation (QAM) are employed, where the receiver consists of a phase splitter or a Hilbert transformer followed by Manuscript received April 14, 1997; revised February 19, This work was supported by the National Science Foundation under CAREER Award MIP The associate editor coordinating the review of this paper and approving it for publication was Dr. Elias Manolakos. The authors are with the Coordinated Science Laboratory/Electrical and Computer Engineering Department, University of Illinois at Urbana- Champaign, Urbana, IL USA ( rhegde@uivlsi.csl.uiuc.edu; shanbhag@uivlsi.csl.uiuc.edu). Publisher Item Identifier S X(99) a complex equalizer operating in either baseband or passband. In case of passband equalization, a simpler structure called the phasesplitting passband equalizer (PSPE) [10] can be employed, where the functions of phase splitter and equalizer are combined. The carrierless AM/PM (CAP) transmission scheme has been chosen by the Technical Committee of the ATM forum as the ATM-LAN physical layer interface standard at Mb/s for category 3 unshielded-twistedpair (UTP) wiring [9]. In CAP transceivers, a PSPE is employed at the receiving end. This receiver consists of a parallel arrangement of two adaptive equalizers. In this correspondence, we propose a lowpower architecture for the PSPE employed in a CAP transceiver by exploiting the Hilbert relationship between the optimum solutions of the receive filters. The rest of this correspondence is organized as follows. In the next section, we describe the generic CAP transceiver scheme. In Section III, we present the proposed receiver architecture and analyze its properties. In Section IV, we show, via analysis and simulation results, that the proposed architecture results in considerable saving in power in an ATM-LAN environment with marginal degradation in performance. II. THE CAP TRANSMISSION SCHEME The block diagram of the generic CAP transmitter is shown in Fig. 1(a). The bit stream to be transmitted is passed through a scrambler in order to randomize the data and are then fed into an encoder. The encoder maps a block of m bits into one of k = 2 m unique complex symbols S n = r n + jq n in a k-cap scheme. In the 16-CAP scheme described here, we have m =4and k = 16. The impulse responses of the shaping filters p(kt 0 ) and ~p(kt 0 ) are given by p(kt 0 )=g(kt 0 )cos 2f c kt 0 and ~p(kt 0 )= g(kt 0 )sin 2f ckt 0, where g(kt 0 ) = g(t)jt=kt is the baseband pulse, and f c is a frequency that is greater than the largest frequency component in g(t). Note that the shaping filter impulse responses form a Hilbert pair [11], i.e., 2 sin 2 (n=2) ~p(n) =h I (n) 3 p(n); where h I (n) = ; n 6= 0 n 0; n =0. (1) Due to the Hilbert relationship, the magnitude response of ~p(n) is the same as that of p(n), but the phase response of ~p(n) is shifted by +90 and 090 in the +ve and 0ve frequency regions, respectively. The CAP receiver, which is shown in Fig. 1(b), consists of an analogto-digital (A/D) converter operating at sampling frequency 1=T 0 followed by two adaptive digital filters in parallel [10], which are also operating at sampling frequency of 1=T 0 = K=T, where K is the oversampling factor, and T is the symbol period. In the 16- CAP scheme, we have K =4. These filters form the in-phase and quadrature-phase equalizers. The filter (F) block in these equalizers consist of an FIR filter whose coefficients are computed recursively in the weight up-date (WUD) block using the popular least mean squares (LMS) algorithm [12]. This algorithm minimizes the mean squared error (MSE) given by MSE = he I (nt ) 2 + e Q (nt ) 2 i (2) where hi denotes expectation. The performance measure for the receiver in this equalization scheme is the signal-to-noise ratio (SNR) at the output (SNR o) of the equalizer given by [13] SNR o =102 log10 2 d MSE (3) X/99$ IEEE

6 易迪拓培训 专注于微波 射频 天线设计人才的培养网址 : 射频和天线设计培训课程推荐 易迪拓培训 ( 由数名来自于研发第一线的资深工程师发起成立, 致力并专注于微波 射频 天线设计研发人才的培养 ; 我们于 2006 年整合合并微波 EDA 网 ( 现已发展成为国内最大的微波射频和天线设计人才培养基地, 成功推出多套微波射频以及天线设计经典培训课程和 ADS HFSS 等专业软件使用培训课程, 广受客户好评 ; 并先后与人民邮电出版社 电子工业出版社合作出版了多本专业图书, 帮助数万名工程师提升了专业技术能力 客户遍布中兴通讯 研通高频 埃威航电 国人通信等多家国内知名公司, 以及台湾工业技术研究院 永业科技 全一电子等多家台湾地区企业 易迪拓培训课程列表 : 射频工程师养成培训课程套装该套装精选了射频专业基础培训课程 射频仿真设计培训课程和射频电路测量培训课程三个类别共 30 门视频培训课程和 3 本图书教材 ; 旨在引领学员全面学习一个射频工程师需要熟悉 理解和掌握的专业知识和研发设计能力 通过套装的学习, 能够让学员完全达到和胜任一个合格的射频工程师的要求 课程网址 : ADS 学习培训课程套装该套装是迄今国内最全面 最权威的 ADS 培训教程, 共包含 10 门 ADS 学习培训课程 课程是由具有多年 ADS 使用经验的微波射频与通信系统设计领域资深专家讲解, 并多结合设计实例, 由浅入深 详细而又全面地讲解了 ADS 在微波射频电路设计 通信系统设计和电磁仿真设计方面的内容 能让您在最短的时间内学会使用 ADS, 迅速提升个人技术能力, 把 ADS 真正应用到实际研发工作中去, 成为 ADS 设计专家... 课程网址 : HFSS 学习培训课程套装该套课程套装包含了本站全部 HFSS 培训课程, 是迄今国内最全面 最专业的 HFSS 培训教程套装, 可以帮助您从零开始, 全面深入学习 HFSS 的各项功能和在多个方面的工程应用 购买套装, 更可超值赠送 3 个月免费学习答疑, 随时解答您学习过程中遇到的棘手问题, 让您的 HFSS 学习更加轻松顺畅 课程网址 : `

7 易迪拓培训 专注于微波 射频 天线设计人才的培养网址 : CST 学习培训课程套装该培训套装由易迪拓培训联合微波 EDA 网共同推出, 是最全面 系统 专业的 CST 微波工作室培训课程套装, 所有课程都由经验丰富的专家授课, 视频教学, 可以帮助您从零开始, 全面系统地学习 CST 微波工作的各项功能及其在微波射频 天线设计等领域的设计应用 且购买该套装, 还可超值赠送 3 个月免费学习答疑 课程网址 : HFSS 天线设计培训课程套装套装包含 6 门视频课程和 1 本图书, 课程从基础讲起, 内容由浅入深, 理论介绍和实际操作讲解相结合, 全面系统的讲解了 HFSS 天线设计的全过程 是国内最全面 最专业的 HFSS 天线设计课程, 可以帮助您快速学习掌握如何使用 HFSS 设计天线, 让天线设计不再难 课程网址 : MHz NFC/RFID 线圈天线设计培训课程套装套装包含 4 门视频培训课程, 培训将 13.56MHz 线圈天线设计原理和仿真设计实践相结合, 全面系统地讲解了 13.56MHz 线圈天线的工作原理 设计方法 设计考量以及使用 HFSS 和 CST 仿真分析线圈天线的具体操作, 同时还介绍了 13.56MHz 线圈天线匹配电路的设计和调试 通过该套课程的学习, 可以帮助您快速学习掌握 13.56MHz 线圈天线及其匹配电路的原理 设计和调试 详情浏览 : 我们的课程优势 : 成立于 2004 年,10 多年丰富的行业经验, 一直致力并专注于微波射频和天线设计工程师的培养, 更了解该行业对人才的要求 经验丰富的一线资深工程师讲授, 结合实际工程案例, 直观 实用 易学 联系我们 : 易迪拓培训官网 : 微波 EDA 网 : 官方淘宝店 : 专注于微波 射频 天线设计人才的培养易迪拓培训官方网址 : 淘宝网店 :

Filters: E-Syn, Momentum, Transient and the DAC

Filters: E-Syn, Momentum, Transient and the DAC Topic 6: Filters: E-Syn, Momentum, Transient and the DAC ADS 2001 Fundamentals - Sept, 2001Slide 6-1 Using the E-Syn tool What does E-Syn do? It makes it easy to create FILTERS and Matching Networks. E-Syn

More information

BlueCore BlueTunes Configuration Tool User Guide

BlueCore BlueTunes Configuration Tool User Guide BlueCore BlueTunes Configuration Tool User Guide Issue 1 CSR Cambridge Science Park Milton Road Cambridge CB4 0WH United Kingdom Registered in England 3665875 Tel.: +44 (0)1223 692000 Fax.: +44 (0)1223

More information

LAB 5: S-parameter Simulation and Optimization

LAB 5: S-parameter Simulation and Optimization ADS Fundamentals - 2001 LAB 5: S-parameter Simulation and Optimization Overview - This exercise continues the amp_1900 design. It teaches how to setup, run, optimize and plot the results of various S-parameter

More information

Fundamentals. Advanced Design System days of intensive training - prerequisite for all other ADS courses. Course Part Number N3211A/B

Fundamentals. Advanced Design System days of intensive training - prerequisite for all other ADS courses. Course Part Number N3211A/B Advanced Design System - 2001 Fundamentals 3 days of intensive training - prerequisite for all other ADS courses. Course Part Number N3211A/B from Agilent EEsof EDA Customer Education E8900-90346 Instructor

More information

Loop Filter Optimization

Loop Filter Optimization Loop Filter Optimization Dean Banerjee Deborah Brown Khang Nguyen 1 This presentation is about PLL loop filter optimization. We will discuss different techniques that can be used to find the best theoretical

More information

Agilent PNA Series Microwave Network Analyzers

Agilent PNA Series Microwave Network Analyzers Agilent PNA Series Microwave Network Analyzers Configuration Guide E8362B 10 MHz to 20 GHz E8363B 10 MHz to 40 GHz E8364B 10 MHz to 50 GHz E8361A 10 MHz to 67 GHz System configuration summary This summary

More information

LAB 3: DC Simulations and Circuit Modeling

LAB 3: DC Simulations and Circuit Modeling ADS Fundamentals - 2001 LAB 3: DC Simulations and Circuit Modeling Overview - This chapter introduces the use of behavioral models to create a system such as a receiver. This lab will be the first step

More information

LAB 5: S-parameter Simulation and Optimization

LAB 5: S-parameter Simulation and Optimization ADS Fundamentals - 2001 LAB 5: S-parameter Simulation and Optimization Overview - This exercise continues the amp_1900 design. It teaches how to setup, run, optimize and plot the results of various S-parameter

More information

Advanced Design System Fundamentals

Advanced Design System Fundamentals Advanced Design System - 2001 Fundamentals 3 days of intensive training - prerequisite for all other ADS courses. Course Part Number N3211A/B from Agilent EEsof EDA Customer Education E8900-90346 Instructor

More information

HK NATER TECH LIMITED

HK NATER TECH LIMITED HK NATER TECH LIMITED WL-UM01WBS-7632 Specification Customer: Description: WL-UM01WBS-7632-V1.0 Customer P/N: Date: Customer Approve Auditing Admit Provider Approve Auditing Admit Customer: Add: Tel: Fax:

More information

RS9110-N abgn Self-contained WLAN module with Networking Stack Data Sheet

RS9110-N abgn Self-contained WLAN module with Networking Stack Data Sheet RS9110-N-11-28 802.11abgn Self-contained WLAN Data Sheet Redpine Signals, Inc. 2107 N. First Street, #680 San Jose, CA 95131. Tel: (408) 748-3385 Fax: (408) 705-2019 Email: info@redpinesignals.com Website:

More information

RS9110-N bgn Self-Contained WLAN Module with Networking Stack Data Sheet Redpine Signals, Inc.

RS9110-N bgn Self-Contained WLAN Module with Networking Stack Data Sheet Redpine Signals, Inc. Data Sheet Redpine Signals, Inc. 2107 N. First Street, #680 San Jose, CA 95131. Tel: (408) 748-3385 Fax: (408) 705-2019 Email: info@redpinesignals.com Website: www.redpinesignals.com Redpine Signals, Inc.

More information

Getting Started with HFSS: Floquet Ports

Getting Started with HFSS: Floquet Ports Getting Started with HFSS: Floquet Ports July 2007 The information contained in this document is subject to change without notice. Ansoft makes no warranty of any kind with regard to this material, including,

More information

ZEMAX. Software for optical design. Illumination and CAD Import. Non-Sequential. Physical Optics

ZEMAX. Software for optical design. Illumination and CAD Import. Non-Sequential. Physical Optics ZEMAX Software for optical design Lens Design Illumination and CAD Import Non-Sequential Physical Optics Fiber Systems Scattering and Stray Light ZEMAX Development Corporation 4901 Morena Blvd., Suite

More information

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容 Content 内容 A1 A2 A3 A4 A5 A6 A7 A8 A9 Login via ICTI CARE Website 通过 ICTI 关爱网站登录 Completing the Application Form 填写申请表 Application Form Created 创建的申请表 Receive Acknowledgement Email 接收确认电子邮件 Receive User

More information

3dvia Composer Solidworks

3dvia Composer Solidworks 3dvia Composer Solidworks 1 / 6 2 / 6 3 / 6 3dvia Composer Solidworks Detail View of a Detail View. Garth COLEMAN: Nice tips, Tim! Easily Use Your Drawing Frames for Technical Illustrations Just with 3DVIA

More information

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 智能终端与物联网应用 课程建设与实践 邝坚 jkuang@bupt.edu.cn 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 定位 移动互联网 服务 安 理解 云计算 服务计算 可信 全 交换感知 嵌入式计算 计算 现状与趋势 p 移动互联网发展迅猛 第 27 次中国互联网络发展状况统计报告 (CNNIC) 指出截至 2010 年 12 月, 中国互联网用户数已达到 4.57 亿, 其中移动互联网网民数已达

More information

Logitech G302 Daedalus Prime Setup Guide 设置指南

Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Contents / 目录 English................. 3 简体中文................. 6 2 Logitech G302 Daedalus Prime 1 On 2 USB Your Daedalus Prime

More information

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide 简介 我们通过使用烧录有 AvalonMiner 设备管理程序的树莓派作为控制器 使 用户能够通过控制器中管理程序的图形界面 来同时对多台 AvalonMiner 6.0 或 AvalonMiner 6.01 进行管理和调试 本教程将简要的说明 如何把 AvalonMiner

More information

实验三十三 DEIGRP 的配置 一 实验目的 二 应用环境 三 实验设备 四 实验拓扑 五 实验要求 六 实验步骤 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程

实验三十三 DEIGRP 的配置 一 实验目的 二 应用环境 三 实验设备 四 实验拓扑 五 实验要求 六 实验步骤 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程 实验三十三 DEIGRP 的配置 一 实验目的 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程 二 应用环境 由于 RIP 协议的诸多问题, 神州数码开发了与 EIGRP 完全兼容的 DEIGRP, 支持变长子网 掩码 路由选择参考更多因素, 如带宽等等 三 实验设备 1. DCR-1751 三台 2. CR-V35FC 一条 3. CR-V35MT 一条 四 实验拓扑

More information

Understanding IO patterns of SSDs

Understanding IO patterns of SSDs 固态硬盘 I/O 特性测试 周大 众所周知, 固态硬盘是一种由闪存作为存储介质的数据库存储设备 由于闪存和磁盘之间物理特性的巨大差异, 现有的各种软件系统无法直接使用闪存芯片 为了提供对现有软件系统的支持, 往往在闪存之上添加一个闪存转换层来实现此目的 固态硬盘就是在闪存上附加了闪存转换层从而提供和磁盘相同的访问接口的存储设备 一方面, 闪存本身具有独特的访问特性 另外一方面, 闪存转换层内置大量的算法来实现闪存和磁盘访问接口之间的转换

More information

Chapter 1 (Part 2) Introduction to Operating System

Chapter 1 (Part 2) Introduction to Operating System Chapter 1 (Part 2) Introduction to Operating System 张竞慧办公室 : 计算机楼 366 室电邮 :jhzhang@seu.edu.cn 主页 :http://cse.seu.edu.cn/personalpage/zjh/ 电话 :025-52091017 1.1 Computer System Components 1. Hardware provides

More information

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 business opportunity 越来越丰富 MTV.COM (1996) CSS FLASH 4.0 AJAX HTML 3.2 DHTML SSL 3.0 FLASH 1.0 REAL AUDIO HTML 1.0 MTV.COM (2008) Silverlight 概览 跨浏览器 IE, Safari,

More information

CHINA VISA APPLICATION CONCIERGE SERVICE*

CHINA VISA APPLICATION CONCIERGE SERVICE* TRAVEL VISA PRO ORDER FORM Call us for assistance 866-378-1722 Fax 866-511-7599 www.travelvisapro.com info@travelvisapro.com CHINA VISA APPLICATION CONCIERGE SERVICE* Travel Visa Pro will review your documents

More information

Wireless Presentation Pod

Wireless Presentation Pod Wireless Presentation Pod WPP20 www.yealink.com Quick Start Guide (V10.1) Package Contents If you find anything missing, contact your system administrator. WPP20 Wireless Presentation Pod Quick Start Guide

More information

如何查看 Cache Engine 缓存中有哪些网站 /URL

如何查看 Cache Engine 缓存中有哪些网站 /URL 如何查看 Cache Engine 缓存中有哪些网站 /URL 目录 简介 硬件与软件版本 处理日志 验证配置 相关信息 简介 本文解释如何设置处理日志记录什么网站 /URL 在 Cache Engine 被缓存 硬件与软件版本 使用这些硬件和软件版本, 此配置开发并且测试了 : Hardware:Cisco 缓存引擎 500 系列和 73xx 软件 :Cisco Cache 软件版本 2.3.0

More information

Technology: Anti-social Networking 科技 : 反社交网络

Technology: Anti-social Networking 科技 : 反社交网络 Technology: Anti-social Networking 科技 : 反社交网络 1 Technology: Anti-social Networking 科技 : 反社交网络 The Growth of Online Communities 社交网络使用的增长 Read the text below and do the activity that follows. 阅读下面的短文, 然后完成练习

More information

OTAD Application Note

OTAD Application Note OTAD Application Note Document Title: OTAD Application Note Version: 1.0 Date: 2011-08-30 Status: Document Control ID: Release _OTAD_Application_Note_CN_V1.0 Copyright Shanghai SIMCom Wireless Solutions

More information

Safety Life Cycle Model IEC61508 安全生命周期模型 -IEC61508

Safety Life Cycle Model IEC61508 安全生命周期模型 -IEC61508 exida is a unique organization rich with Functional Safety and Control System Security support, products, services, experience, expertise, and an unending quest to exceed customer expectations. Fully integrated

More information

Spark Standalone 模式应用程序开发 Spark 大数据博客 -

Spark Standalone 模式应用程序开发 Spark 大数据博客 - 在本博客的 Spark 快速入门指南 (Quick Start Spark) 文章中简单地介绍了如何通过 Spark s hell 来快速地运用 API 本文将介绍如何快速地利用 Spark 提供的 API 开发 Standalone 模式的应用程序 Spark 支持三种程序语言的开发 :Scala ( 利用 SBT 进行编译 ), Java ( 利用 Maven 进行编译 ) 以及 Python

More information

A Benchmark For Stroke Extraction of Chinese Characters

A Benchmark For Stroke Extraction of Chinese Characters 2015-09-29 13:04:51 http://www.cnki.net/kcms/detail/11.2442.n.20150929.1304.006.html 北京大学学报 ( 自然科学版 ) Acta Scientiarum Naturalium Universitatis Pekinensis doi: 10.13209/j.0479-8023.2016.025 A Benchmark

More information

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet 前 4 个字节都是一样的 0 8 16 31 类型代码检验和 ( 这 4 个字节取决于 ICMP 报文的类型 ) ICMP 的数据部分 ( 长度取决于类型 ) ICMP 报文 首部 数据部分 IP 数据报 ICMP: Internet Control Message

More information

Triangle - Delaunay Triangulator

Triangle - Delaunay Triangulator Triangle - Delaunay Triangulator eryar@163.com Abstract. Triangle is a 2D quality mesh generator and Delaunay triangulator. Triangle was created as part of the Quake project in the school of Computer Science

More information

Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016

Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016 Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016 42 = Average Age of a Company According to Christensen, well-established companies are not capable of change in face

More information

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition Chapter 7: Deadlocks Silberschatz, Galvin and Gagne 2013 Chapter Objectives To develop a description of deadlocks, which prevent sets of concurrent processes from completing their tasks To present a number

More information

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心 测试基础架构 演进之路 茹炳晟 (Robin Ru) ebay 中国研发中心 茹炳晟 (Robin Ru) 主要工作经历 : ebay 中国研发中心 -- 测试基础架构技术主管 Hewlett-Packard 惠普软件 ( 中国 ) 研发中心 -- 测试架构师 资深测试专家 Alcatel-Lucent 阿尔卡特朗讯 ( 上海 ) 研发中心 -- 测试技术主管 Cisco 思科 ( 中国 ) 研发中心

More information

上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分

上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分 上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分 SGM IT < 上汽通用汽车供应商门户网站项目 (SGMSP)> 工作产品名称 :< User Guide 用户手册 > Current Version: Owner: < 曹昌晔 > Date Created:

More information

Chapter 11 SHANDONG UNIVERSITY 1

Chapter 11 SHANDONG UNIVERSITY 1 Chapter 11 File System Implementation ti SHANDONG UNIVERSITY 1 Contents File-System Structure File-System Implementation Directory Implementation Allocation Methods Free-Space Management Efficiency and

More information

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology 计算机科学与技术学院 计算机科学与技术学院下设 6 个研究所 : 计算科学理论研究所 数据工程研究所 并行分布式计算研究所 数据存储研究所 数字媒体研究所 信息安全研究所 ;2 个中心 : 嵌入式软件与系统工程中心和教学中心 外存储系统国家专业实验室 教育部信息存储系统重点实验室 中国教育科研网格主结点 国家高性能计算中心 ( 武汉 ) 服务计算技术与系统教育部重点实验室 湖北省数据库工程技术研究中心

More information

IEEE 成立于 1884 年, 是全球最大的技术行业协会, 凭借其多样化的出版物 会议 教育论坛和开发标准, 在激励未来几代人进行技术创新方面做出了巨大的贡献, 其数据库产品 IEL(IEEE/IET Electronic Library)

IEEE 成立于 1884 年, 是全球最大的技术行业协会, 凭借其多样化的出版物 会议 教育论坛和开发标准, 在激励未来几代人进行技术创新方面做出了巨大的贡献, 其数据库产品 IEL(IEEE/IET Electronic Library) IEL Newsletter 2013 年 12 月特刊 :2012 年 IEEE 期刊影响因子及相关评价指标情况概览 欢迎体验全新的 IEEE Xplore 数字图书馆 www.ieee.org/ieeexplore IEEE 成立于 1884 年, 是全球最大的技术行业协会, 凭借其多样化的出版物 会议 教育论坛和开发标准, 在激励未来几代人进行技术创新方面做出了巨大的贡献, 其数据库产品 IEL(IEEE/IET

More information

PMI,PMI (China) Membership, Certifications. Bob Chen PMI (China) August 31, 2010

PMI,PMI (China) Membership, Certifications. Bob Chen PMI (China) August 31, 2010 PMI,PMI (China) Membership, Certifications Bob Chen PMI (China) August 31, 2010 内容 (1) PMI Global (2) PMI China update (3) Certifications (4) Memberships 2 PMI Global Developments 3 What is PMI? Global

More information

<properties> <jdk.version>1.8</jdk.version> <project.build.sourceencoding>utf-8</project.build.sourceencoding> </properties>

<properties> <jdk.version>1.8</jdk.version> <project.build.sourceencoding>utf-8</project.build.sourceencoding> </properties> SpringBoot 的基本操作 一 基本概念在 spring 没有出现的时候, 我们更多的是使用的 Spring,SpringMVC,Mybatis 等开发框架, 但是要将这些框架整合到 web 项目中需要做大量的配置,applicationContext.xml 以及 servlet- MVC.xml 文件等等, 但是这些文件还还不够, 还需要配置 web.xml 文件进行一系列的配置 以上操作是比较麻烦的,

More information

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 RemoteFX 中新的 USB 重定向特性 在 RDS 中所有设备重定向机制 VDI 部署场景讨论 : 瘦客户端和胖客户端 (Thin&Rich). 用户体验 : 演示使用新的 USB 重定向功能 81% 4 本地和远程的一致的体验 (Close

More information

XML allows your content to be created in one workflow, at one cost, to reach all your readers XML 的优势 : 只需一次加工和投入, 到达所有读者的手中

XML allows your content to be created in one workflow, at one cost, to reach all your readers XML 的优势 : 只需一次加工和投入, 到达所有读者的手中 XML allows your content to be created in one workflow, at one cost, to reach all your readers XML 的优势 : 只需一次加工和投入, 到达所有读者的手中 We can format your materials to be read.. in print 印刷 XML Conversions online

More information

组播路由 - MSDP 和 PIM 通过走

组播路由 - MSDP 和 PIM 通过走 组播路由 - MSDP 和 PIM 通过走 Contents Introduction 拓扑控制 - 飞机来源注册 ( 步骤 1-3) 接受器参加组 ( 第 4 步 - 第 11 步 ) R4 PIM RP 修剪 (S, G) 步骤 12 摘要 Related Information Introduction 本文描述独立于协议的组播 (PIM) 和多播源发现协议 (MSDP) 的操作与使用一简单的组播拓扑

More information

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub 第二小题 : 逻辑隔离 (10 分 ) 一 实验背景云平台服务器上的不同虚拟服务器, 分属于不同的用户 用户远程登录自己的虚拟服务器之后, 安全上不允许直接访问同一局域网的其他虚拟服务器 二 实验目的搭建简单网络, 通过逻辑隔离的方法, 实现用户能远程登录局域网内自己的虚拟内服务器, 同时不允许直接访问同一局域网的其他虚拟服务器 三 实验环境搭建如图 1-1 所示, 我们会创建一个基于 OpenFlow

More information

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** *

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** * Oracle 一体化创新云技术 助力智慧政府信息化战略 ?* x * Exadata Exadata* * * Exadata* InfiniBand 0Gbits/S 5?10 * Exadata* * Exadata& & Oracle exadata! " 4 " 240 12! "!! " " " Exadata* Exadata & Single?Instance*Database*

More information

Outline. Motivations (1/3) Distributed File Systems. Motivations (3/3) Motivations (2/3)

Outline. Motivations (1/3) Distributed File Systems. Motivations (3/3) Motivations (2/3) Outline TFS: Tianwang File System -Performance Gain with Variable Chunk Size in GFS-like File Systems Authors: Zhifeng Yang, Qichen Tu, Kai Fan, Lei Zhu, Rishan Chen, Bo Peng Introduction (what s it all

More information

计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法. 授课老师 : 王浩宇

计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法. 授课老师 : 王浩宇 计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法 授课老师 : 王浩宇 haoyuwang@bupt.edu.cn 1 Today: Bits, Bytes, and Integers Representing information as bits Bit-level manipulations Integers Representation: unsigned

More information

[ 电子书 ]Spark for Data Science PDF 下载 Spark 大数据博客 -

[ 电子书 ]Spark for Data Science PDF 下载 Spark 大数据博客 - [ 电子书 ]Spark for Data Science PDF 下载 昨天分享了 [ 电子书 ]Apache Spark 2 for Beginners pdf 下载, 这本书很适合入门学习 Spark, 虽然书名上写着是 Apache Spark 2, 但是其内容介绍几乎和 Spark 2 毫无关系, 今天要分享的图书也是一本适合入门的 Spark 电子书, 也是 Packt 出版,2016

More information

Apache Kafka 源码编译 Spark 大数据博客 -

Apache Kafka 源码编译 Spark 大数据博客 - 经过近一个月时间, 终于差不多将之前在 Flume 0.9.4 上面编写的 source sink 等插件迁移到 Flume-ng 1.5.0, 包括了将 Flume 0.9.4 上面的 TailSou rce TailDirSource 等插件的迁移 ( 当然, 我们加入了许多新的功能, 比如故障恢复 日志的断点续传 按块发送日志以及每个一定的时间轮询发送日志而不是等一个日志发送完才发送另外一个日志

More information

Command Dictionary CUSTOM

Command Dictionary CUSTOM 命令模式 CUSTOM [(filename)] [parameters] Executes a "custom-designed" command which has been provided by special programming using the GHS Programming Interface. 通过 GHS 程序接口, 执行一个 用户设计 的命令, 该命令由其他特殊程序提供 参数说明

More information

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 H3C CAS 虚拟机支持的操作系统列表 Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 目录 1 Windows 1 2 Linux 1 2.1 CentOS 1 2.2 Fedora 2 2.3 RedHat Enterprise

More information

MeeGo : An Open Source OS Solution For Client Devices

MeeGo : An Open Source OS Solution For Client Devices MeeGo : An Open Source OS Solution For Client Devices Fleming Feng Open Source Technology Center System Software Division Intel Asia Pacific Research and Development Ltd. 1. Agenda Mobile Internet boosts

More information

Declaration of Conformity STANDARD 100 by OEKO TEX

Declaration of Conformity STANDARD 100 by OEKO TEX Declaration of Conformity STANDARD 100 by OEKO TEX OEKO-TEX - International Association for Research and Testing in the Field of Textile and Leather Ecology OEKO-TEX - 国际纺织和皮革生态学研究和检测协会 Declaration of

More information

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图 PCU50 的整盘备份 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 OS Loader V4.00 Please select the operating system to start: SINUMERIK Use and to move the highlight to your choice. Press Enter

More information

Skill-building Courses Business Analysis Lesson 3 Problem Solving

Skill-building Courses Business Analysis Lesson 3 Problem Solving Skill-building Courses Business Analysis Lesson 3 Problem Solving Review Software Development Life Cycle/Agile/Scrum Learn best practices for collecting and cleaning data in Excel to ensure accurate analysis

More information

三 依赖注入 (dependency injection) 的学习

三 依赖注入 (dependency injection) 的学习 三 依赖注入 (dependency injection) 的学习 EJB 3.0, 提供了一个简单的和优雅的方法来解藕服务对象和资源 使用 @EJB 注释, 可以将 EJB 存根对象注入到任何 EJB 3.0 容器管理的 POJO 中 如果注释用在一个属性变量上, 容器将会在它被第一次访问之前赋值给它 在 Jboss 下一版本中 @EJB 注释从 javax.annotation 包移到了 javax.ejb

More information

操作系统原理与设计. 第 13 章 IO Systems(IO 管理 ) 陈香兰 2009 年 09 月 01 日 中国科学技术大学计算机学院

操作系统原理与设计. 第 13 章 IO Systems(IO 管理 ) 陈香兰 2009 年 09 月 01 日 中国科学技术大学计算机学院 第 13 章 IO Systems(IO 管理 ) 中国科学技术大学计算机学院 2009 年 09 月 01 日 提纲 I/O Hardware 1 I/O Hardware Polling Interrupts Direct Memory Access (DMA) I/O hardware summary 2 Block and Character Devices Network Devices

More information

VAS 5054A FAQ ( 所有 5054A 整合, 中英对照 )

VAS 5054A FAQ ( 所有 5054A 整合, 中英对照 ) VAS 5054A FAQ ( 所有 5054A 整合, 中英对照 ) About Computer Windows System Requirements ( 电脑系统要求方面 ) 问 :VAS 5054A 安装过程中出现错误提示 :code 4 (corrupt cabinet) 答 : 客户电脑系统有问题, 换 XP 系统安装 Q: When vas5054 install, an error

More information

Multiprotocol Label Switching The future of IP Backbone Technology

Multiprotocol Label Switching The future of IP Backbone Technology Multiprotocol Label Switching The future of IP Backbone Technology Computer Network Architecture For Postgraduates Chen Zhenxiang School of Information Science and Technology. University of Jinan (c) Chen

More information

Company Overview.

Company Overview. 电源定制专家 Company Overview Billyonline founded in 2008, the company mainly engaged in the LED power supply, communication system power, embedded system power supply, custom power supplies, power modules,

More information

#MDCC Swift 链式语法应 用 陈乘

#MDCC Swift 链式语法应 用 陈乘 #MDCC 2016 Swift 链式语法应 用 陈乘 方 @ENJOY 关于我 Swift 开发者 ENJOY ios 客户端负责 人 两年年 Swift 实际项 目开发经验 微博 ID: webfrogs Twitter: nswebfrog Writing code is always easy, the hard part is reading it. 链式语法? 链式语法 可以连续不不断地进

More information

CHAPTER 5 NEW INTERNET APPLICATIONS

CHAPTER 5 NEW INTERNET APPLICATIONS CHAPTER 5 NEW INTERNET APPLICATIONS 5.1 INSTANT MESSAGING (IM) 5.1.1 OVERVIEW OF INSTANT MESSAGING (IM) Instant messaging (IM) is an extension of e-mail that allows two or more people to contact each other

More information

Britannica Academic Online Edition 大不列顛百科全书网络学术版

Britannica Academic Online Edition 大不列顛百科全书网络学术版 Britannica Academic Online Edition 大不列顛百科全书网络学术版 The Complete Digital Resource Deep use of online resources 2013 The Complete Digital Resource High profile contributors Current content Collaborative content

More information

Air Speaker. Getting started with Logitech UE Air Speaker. 快速入门罗技 UE Air Speaker. Wireless speaker with AirPlay. 无线音箱 (AirPlay 技术 )

Air Speaker. Getting started with Logitech UE Air Speaker. 快速入门罗技 UE Air Speaker. Wireless speaker with AirPlay. 无线音箱 (AirPlay 技术 ) Air Speaker Getting started with Logitech UE Air Speaker Wireless speaker with AirPlay 快速入门罗技 UE Air Speaker 无线音箱 (AirPlay 技术 ) for ipad, iphone, ipod touch and itunes ipad, iphone, ipod touch itunes Logitech

More information

Green Computing Cloud Computing LSD Tech Co., Ltd SSD server & SSD Storage Cloud SSD Supercomputer LSD Tech Co., LTD

Green Computing Cloud Computing LSD Tech Co., Ltd SSD server & SSD Storage Cloud SSD Supercomputer LSD Tech Co., LTD www.lsdtech.co.kr Green Computing Cloud Computing LSD Tech Co., Ltd SSD server & SSD Storage Cloud SSD Supercomputer LSD Tech Co., LTD 2012. 09. 28 1. 公司介绍 LSD Tech Co., Ltd LSD Tech( 株 ) 以制造 SSD 服务器,

More information

Congestion Control Mechanisms for Ad-hoc Social Networks 自组织社会网络中的拥塞控制机制

Congestion Control Mechanisms for Ad-hoc Social Networks 自组织社会网络中的拥塞控制机制 Congestion Control Mechanisms for Ad-hoc Social Networks 自组织社会网络中的拥塞控制机制 by Hannan-Bin-Liaqat (11117018) to School of Software in partial fulfillment of the requirements for the degree of Doctor of Philosophy

More information

Bing.com scholar. Мобильный портал WAP версия: wap.altmaster.ru

Bing.com scholar. Мобильный портал WAP версия: wap.altmaster.ru Мобильный портал WAP версия: wap.altmaster.ru Bing.com scholar Aug 16 2011. I have already had several people ask me whether Bing offers something comparable to Google Scholar. Bing's alternative is Microsoft.

More information

IP unnumbered 实验讲义 一. 实验目的 : 二. 实验设备 : 三. 实验拓扑 : 四. 实验内容 :

IP unnumbered 实验讲义 一. 实验目的 : 二. 实验设备 : 三. 实验拓扑 : 四. 实验内容 : 一. 实验目的 : IP unnumbered 实验讲义 掌握 ip unnumbered 命令以及命令适用范围 二. 实验设备 : 2600 router*2,serial 相连 IOS (tm) C2600 Software (C2600-DO3S-M), Version 12.0(5)T1 三. 实验拓扑 : F0 S0 S0 F0 Router A Router B 四. 实验内容 : 基本配置

More information

Ganglia 是 UC Berkeley 发起的一个开源集群监视项目, 主要是用来监控系统性能, 如 :cpu mem 硬盘利用率, I/O 负载 网络流量情况等, 通过曲线很容易见到每个节点的工作状态, 对合理调整 分配系统资源, 提高系统整体性能起到重要作用

Ganglia 是 UC Berkeley 发起的一个开源集群监视项目, 主要是用来监控系统性能, 如 :cpu mem 硬盘利用率, I/O 负载 网络流量情况等, 通过曲线很容易见到每个节点的工作状态, 对合理调整 分配系统资源, 提高系统整体性能起到重要作用 在本博客的 Spark Metrics 配置详解 文章中介绍了 Spark Metrics 的配置, 其中我们就介绍了 Spark 监控支持 Ganglia Sink Ganglia 是 UC Berkeley 发起的一个开源集群监视项目, 主要是用来监控系统性能, 如 :cpu mem 硬盘利用率, I/O 负载 网络流量情况等, 通过曲线很容易见到每个节点的工作状态, 对合理调整 分配系统资源,

More information

新一代 ODA X5-2 低调 奢华 有内涵

新一代 ODA X5-2 低调 奢华 有内涵 新一代 ODA X5-2 低调 奢华 有内涵 李昊首席销售顾问甲骨文公司系统事业部 内容预览 1 2 3 4 ODA 概述 ODA X5-2 新功能 / 特性介绍 ODA X5-2 市场定位 & 竞争分析总结 & 讨论 内容预览 1 2 3 4 ODA 概述 ODA X5-2 新功能 / 特性介绍 ODA X5-2 市场定位 & 竞争分析总结 & 讨论 什么是 ODA ODA: 五年四代, 稳中求变

More information

VLDB Database School (China) VLDB 中国数据库学院

VLDB Database School (China) VLDB 中国数据库学院 VLDB Database School (China) VLDB 中国数据库学院 2012 Summer School 2012 年暑期学校 July 23 July 27, 2012 2012 年 7 月 23 日 7 月 27 日 Kunming, China 中国 昆明 VLDB Database School (China) School of Information Science and

More information

Machine Vision Market Analysis of 2015 Isabel Yang

Machine Vision Market Analysis of 2015 Isabel Yang Machine Vision Market Analysis of 2015 Isabel Yang CHINA Machine Vision Union Content 1 1.Machine Vision Market Analysis of 2015 Revenue of Machine Vision Industry in China 4,000 3,500 2012-2015 (Unit:

More information

网络测量与行为学 网络测量与行为学概述. 程光 东南大学计算机科学与工程学院 CERNET 华东 ( 北 ) 地区网络中心江苏省计算机网络技术重点实验室

网络测量与行为学 网络测量与行为学概述. 程光 东南大学计算机科学与工程学院 CERNET 华东 ( 北 ) 地区网络中心江苏省计算机网络技术重点实验室 网络测量与行为学 网络测量与行为学概述 程光 gcheng@njnet.edu.cn 东南大学计算机科学与工程学院 CERNET 华东 ( 北 ) 地区网络中心江苏省计算机网络技术重点实验室 主讲教师简介 计算机工程系, 教授, 安徽黄山人 研究方向 : 网络测量 行为学和网络安全 目前主要研究项目 2009CB320505 基于自治治理模型的网络管理与安全研究 负责人, 国家 973 BK2008288

More information

libde265 HEVC 性能测试报告

libde265 HEVC 性能测试报告 libde265 HEVC www.libde265.org libde265 HEVC 高效率视频编码 (HEVC) 是新的视频压缩标准, 是 H.264/MPEG-4 AVC (Advanced Video Coding) 的后继者 HEVC 是由 ISO/IEC Moving Picture Experts Group (MPEG) 和 ITU-T Video Coding Experts Group

More information

Presentation Title. By Author The MathWorks, Inc. 1

Presentation Title. By Author The MathWorks, Inc. 1 Presentation Title By Author 2014 The MathWorks, Inc. 1 4G LTE 轻松入门 陈建平 MathWorks 中国 2014 The MathWorks, Inc. 2 大纲 4G 综述 LTE 系统工具箱的应用 黄金参考模型 点到点链路级仿真 信号发生和分析 信号信息恢复 4G 系统的并行仿真加速 3 无线标准的演化 * *Although ETSI

More information

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 : Latest update:

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 :  Latest update: 学习沉淀成长分享 EIGRP 红茶三杯 ( 朱 SIR) 微博 :http://t.sina.com/vinsoney Latest update: 2012-06-01 课程目标 EIGRP 协议基础 EIGRP 基础配置 EIGRP 协议基础 EIGRP 的协议特点 EIGRP 的三张表 EIGRP 数据包 初始路由发现 EIGRP metric DUAL 算法 EIGRP 的协议特点 CISCO

More information

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1 Build a Key Value Flash Disk Based Storage System Flash Memory Summit 2017 Santa Clara, CA 1 Outline Ø Introduction,What s Key Value Disk Ø A Evolution to Key Value Flash Disk Based Storage System Ø Three

More information

IPC 的 Proxy-Stub 设计模式 ( c)

IPC 的 Proxy-Stub 设计模式 ( c) B05_c 基於軟硬整合觀點 IPC 的 Proxy-Stub 设计模式 ( c) By 高煥堂 天子 曹操 地头蛇? 3 包裝 IBinder 接口基於軟硬整合觀點 -- 使用 Proxy-Stub 设计模式 采用 Proxy-Stub 设计模式将 IBinder 接口包装起来, 让 App 与 IBinder 接口不再产生高度相依性 應用程序 (App) 其将 IBinder 接口包装起来, 转换出更好用的新接口

More information

Software Engineering. Zheng Li( 李征 ) Jing Wan( 万静 )

Software Engineering. Zheng Li( 李征 ) Jing Wan( 万静 ) Software Engineering Zheng Li( 李征 ) Jing Wan( 万静 ) 作业 Automatically test generation 1. 编写一个三角形程序, 任意输入三个整数, 判断三个整形边长能否构成三角形, 如果是三角形, 则判断它是一般三角形 等腰三角形或等边三角形, 并输出三角形的类型 2. 画出程序的 CFG, 计算圈复杂度 3. 设计一组测试用例满足测试准则

More information

Learn OpenStack from trystack.cn Grizzly in practice

Learn OpenStack from trystack.cn Grizzly in practice Learn from trystack.cn Grizzly in practice @ben_duyujie #Beijing for OCOW Summit 2013 Duyujie.dyj@gmail.com Who am I? - Evangelist - Community manager - Co-founder of COUSG - Trystack.cn founder Who is

More information

北 京 忆 恒 创 源 科 技 有 限 公 司 16

北 京 忆 恒 创 源 科 技 有 限 公 司 16 北京忆恒创源科技有限公司 16 Client Name Internal Project Name PPT Template Range For Internal only Project Leader Tang Zhibo Date 2013.4.26 Vision 0.1 北京忆恒创源科技有限公司,Memblaze 唐志波市场副总 / 联合创始人 曾在英特尔有限公司任职 11 年 任英特尔解决方案部高级技术顾问,

More information

我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 高级分析 传统 BI. Source: Gartner

我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 高级分析 传统 BI. Source: Gartner 价值 我们应该做什么? 告知性分析 未来会发生什么? 预测性分析 为什么会发生 诊断性分析 过去发生了什么? 描述性分析 传统 BI 高级分析 Source: Gartner 困难 常见方案 Cortana 高级分析套件 SQL Server 2016 或者 Microsoft R Server Machine Learning 或者 Microsoft R Server 1. 业务理解 2. 数据理解

More information

信息检索与搜索引擎 Introduction to Information Retrieval GESC1007

信息检索与搜索引擎 Introduction to Information Retrieval GESC1007 信息检索与搜索引擎 Introduction to Information Retrieval GESC1007 Philippe Fournier-Viger Full professor School of Natural Sciences and Humanities philfv8@yahoo.com Spring 2019 1 Introduction Philippe Fournier-Viger

More information

White Paper 3 System Level ESD Part II: Implementation of Effective ESD Robust Designs. Industry Council on ESD Target Levels

White Paper 3 System Level ESD Part II: Implementation of Effective ESD Robust Designs. Industry Council on ESD Target Levels White Paper 3 System Level ESD Part II: Implementation of Effective ESD Robust Designs Executive Summary Industry Council on ESD Target Levels September 2012 Revision 1.0 This page is intentionally left

More information

IBM 开源技术微讲堂容器技术与微服务系列

IBM 开源技术微讲堂容器技术与微服务系列 IBM 开源技术微讲堂容器技术与微服务系列 第五讲 Kubernetes 简介 h,p://ibm.biz/opentech- ma 1 容器技术和微服务 系列公开课 每周四晚 8 点档 Docker 一种全新的 工作 方式 容器编排 工具 Docker Swarm 数据中 心操作系统的内核 Apache Mesos 大数据 Web 服务 CI/CD: 一个都不能少 深 入理解 Mesos 的资源调度及使

More information

2. Introduction to Digital Media Format

2. Introduction to Digital Media Format Digital Asset Management 数字媒体资源管理 2. Introduction to Digital Media Format 任课 老师 : 张宏鑫 2014-09-30 Outline Image format and coding methods Audio format and coding methods Video format and coding methods

More information

Safe Memory-Leak Fixing for C Programs

Safe Memory-Leak Fixing for C Programs Safe Memory-Leak Fixing for C Programs Qing Gao, Yingfei Xiong, Yaqing Mi, Lu Zhang, Weikun Yang, Zhaoing Zhou, Bing Xie, Hong Mei Institute of Software, Peking Unversity 内存管理 安全攸关软件的开发必然涉及内存管理问题 软件工程经典问题,

More information

PTZ PRO 2. Setup Guide 设置指南

PTZ PRO 2. Setup Guide 设置指南 PTZ PRO 2 Setup Guide 设置指南 3 ENGLISH 8 简体中文 2 KNOW YOUR PRODUCT 1 4 9 5 10 6 7 11 8 2 13 14 3 12 15 Camera 1. 10X lossless zoom 2. Camera LED 3. Kensington Security Slot Remote 4. Mirror 5. Zoom in 6.

More information

The Design of Everyday Things

The Design of Everyday Things The Design of Everyday Things Byron Li Copyright 2009 Trend Micro Inc. It's Not Your Fault Donald A. Norman & His Book Classification 03/17/11 3 Norman Door Why Learn to think from different aspects Contribute

More information

Virtual Memory Management for Main-Memory KV Database Using Solid State Disk *

Virtual Memory Management for Main-Memory KV Database Using Solid State Disk * ISSN 1673-9418 CODEN JKYTA8 E-mail: fcst@vip.163.com Journal of Frontiers of Computer Science and Technology http://www.ceaj.org 1673-9418/2011/05(08)-0686-09 Tel: +86-10-51616056 DOI: 10.3778/j.issn.1673-9418.2011.08.002

More information

密级 : 博士学位论文. 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究

密级 : 博士学位论文. 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究 密级 : 博士学位论文 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究 作者姓名指导教师学科 ( 专业 ) 所在学院提交日期 胡威陈天洲教授计算机科学与技术计算机学院二零零八年三月 A Dissertation Submitted to Zhejiang University for the Degree of Doctor of Philosophy TITLE: The

More information

3-Axis Consumer Accelerometer Applications and Challenges

3-Axis Consumer Accelerometer Applications and Challenges June16-19, 2008 3-Axis Consumer Accelerometer Applications and Challenges PC108 Vincent Ko Marketing Manager (Sensor Products) of Freescale Semiconductor, Inc. All other product or service names are the

More information

Global and China Digital Still Camera (DSC) Industry Report, 2011

Global and China Digital Still Camera (DSC) Industry Report, 2011 Global and China Digital Still Camera (DSC) Industry Report, 2011 Customer Service Hotline:400-666-1917 Page 1 of 13 一 调研说明中商情报网全新发布的 Global and China Digital Still Camera (DSC) Industry Report, 2011 主要依据国家统计局

More information

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 1. DWR 1.1 DWR 基础 1.1.1 概念 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 2 作用 使用 DWR, 可以直接在 html 网页中调用 Java 对象的方法 ( 通过 JS 和 Ajax) 3 基本原理主要技术基础是 :AJAX+ 反射 1) JS 通过 AJAX 发出请求, 目标地址为 /dwr/*, 被 DWRServlet(

More information

Microsemi - Leading Innovation for China s Hyperscale Data Centers

Microsemi - Leading Innovation for China s Hyperscale Data Centers Power Matters. TM Microsemi - Leading Innovation for China s Hyperscale Data Centers Andrew Dieckmann Sr. Director, Scalable Storage Product Marketing 1 议程 China A Storage Growth Engine Data Center Storage

More information

public static InetAddress getbyname(string host) public static InetAddress getlocalhost() public static InetAddress[] getallbyname(string host)

public static InetAddress getbyname(string host) public static InetAddress getlocalhost() public static InetAddress[] getallbyname(string host) 网络编程 杨亮 网络模型 访问 网络 Socket InetAddress 类 public static InetAddress getbyname(string host) public static InetAddress getlocalhost() public static InetAddress[] getallbyname(string host) public class OreillyByName

More information

武汉大学 学年度第 1 学期 多核架构及编程技术 试卷(A)

武汉大学 学年度第 1 学期 多核架构及编程技术 试卷(A) 武汉大学 2007 2008 学年度第 学期 多核架构及编程技术 试卷(A) 学院专业班学号姓名分数 注 : 请在答题纸上答题 答案写在试卷纸上无效 考试完毕, 请将答题纸与试卷纸一起上交 一 填空题 ( 共 20 分, 每题 2 分 ) When threads are scheduled for execution, Windows choose which processor should run

More information

IBM 开源技术微讲堂容器技术与微服务系列

IBM 开源技术微讲堂容器技术与微服务系列 IBM 开源技术微讲堂容器技术与微服务系列 第 二讲 容器管理 工具 Docker Swarm h.p://ibm.biz/opentech- ma 1 容器技术和微服务 系列公开课 每周四晚 8 点档 Docker 一种全新的 工作 方式 容器管理 工具 Docker Swarm 数据中 心操作系统的内核 Apache Mesos 大数据 Web 服务 CI/CD: 一个都不能少 深 入理解 Mesos

More information