Light64: Ligh support for data ra. Darko Marinov, Josep Torrellas. a.cs.uiuc.edu

Size: px
Start display at page:

Download "Light64: Ligh support for data ra. Darko Marinov, Josep Torrellas. a.cs.uiuc.edu"

Transcription

1 : Ligh htweight hardware support for data ra ce detection ec during systematic testing Adrian Nistor, Darko Marinov, Josep Torrellas University of Illinois, Urbana Champaign a.cs.uiuc.edu

2 Outline Motivation Systematic Testing Evaluation Conclusion

3 Common concurrency bug Difficult to detect t Data Races Cause unexpected crashes even in code that is well tested Example: X == 0 Thread A Thread B X +=1 X+=1 Depending on the run: X = 2 or X = 1

4 Contribution: : new data race detection technique Software Hardware Hardware requirement none 64 bits Kbits Execution overhead 8X 1 37% 05% 0.5% NO false positives Detects 96% of races

5 Outline Motivation Systematic ti Testing Evaluation Conclusion

6 Systemati ic Testing To detect bugs, we need high test coverage Very important in parallel prog grams One input, many thread interleavings Systematic testing Systematically execute many thread interleavings Example: CHESS (used by Microsoft testers) Systematic testers include dataa race detection Turned off by default Due to high runtime overhead : Overhead low enough to be always ON

7 How Systematic Testing Works Thread A A3 Wait X Wait Y Thread B Signal X B2 SEGMENT == sequence of dynamic instructions Execute many different interleavings Multiplex segments in a uniprocessor A1 A 3 B 2

8 Outline Motivation Systematic Tes sting Evaluation Conclusion

9 Example Thread A x = 0 0 Thread B x = 3 = x Signal Wait RACE Race on X: because accesses to X are not ordered by synchronization

10 Thread A Thread B The Idea Perform two executions flipping the unordered segments

11 Thread A Thread B The Idea No sync May have a race B1 UN FLIPPED Sync No race possible FLIPPED PRESERVE f segments A1 and B1 have NO race they are indepen ndent NOTHING changes have a RACE we also flipped the race Access history changes

12 Thread A Thread B The Idea x = 3 x = 0 x = 0 = x ( 0 ) B1 =x(3) x = 3 UN FLIPPED CHANGED! FLIPPED f segments A1 and B1 have NO race they are indepen ndent NOTHING changes have a RACE we also flipped the race SOMETHING changes

13 Overview Use two different exec cutions Same synchronization order If change race No change know for sure there is a highly probable no race

14 Phases in Detect if races exist Fast, over all thread interle eavings executed by the tester Issues Pin point races How to detect deviations (e.g. from 0 to 3) HW hash How to flip the segments with low overhead SW Slow, classic data race detection algorithm Only if there are races Only for the racy interleav vings Optimization: only for selected racy interleavings

15 Detecting Deviations Per thread: hash all the values read from memory on-the-fly Compare hashes of two execu utions with same sync order Different hashes Identical hashes know for sure there is a race high probability no race

16 Thread A Thread B Example: Detecting Deviations UN FLIPPED FLIPPED A1 HASH (READs) HASH (READs) END of execution HASH (READs) HASH (READs) ==? ==?

17 HW System BONUS ROB CRC 64 hash logic REGISTER virtualize migrate context switch no cache spills Head of ROB 64 bit register Accumulates values read from memory

18 Thread A Thread B Flip with Low Overhead STATE TREE THRE INTERLEAVING AD A1 A 3 B 2

19 Flip with Low Overhead Thread A Thread B A1 A 3 B 2

20 Flip with Low Overhead Thread A Thread B A1 A 3 UN FLIPPED FLIPPED B 2 Piggy back on Systematic Testing primitives to reduce overhead Some synchronization orders are execut ted multiple times

21 Outline Motivation Systematic Tes sting Evaluation Conclusion

22 Experimen ntal Setup Developed systematic tester in the lines of CHESS Tested all SPLASH-2 applications Run with 2 and 4 threads Execution overhead Accuracy Compare to a systematic tester with no race detection: Plain Compare to a systematic tester running a SW precise race detector Propose two versions: Active: Aggressive flipping for high coverage Passive: Modest flipping for minimum overhead

23 Execution Overhead (4 threads) Plain Passive ActiveFIN 1.2 alized to Plain Overhead Norm Barnes Cholesk FFT FMM LU Ocean Radiosi- Radix Ray- Volrend Water- Water- MEAN y ty trace NS SP Tradeoff execution overhead vs detection accuracy Active: 37% overhead, Passive: 2 % overhead, SW only: 8 X overhead, 96% races detected 89% races detected 100% races detected

24 Detection Accuracy y( (4 threads) Original Inserted Races Precise SW Precise SW Barnes Cholesky FFT FMM LU Ocean Radiosity Radix Raytrace Volrend Water-NS Water-SP Average race detection accuracy: 96 %

25 Also in the Paper Additional versi ons Optimization for the phase that pin-points races Characterization of the systematic testing Overhead and accuracy for two-threaded th d runs Additional results Software-only implementation

26 Outline Motivation Systematic Tes sting Evaluation Conclusionn

27 Conclusion Introduced, new data race detection technique for use during systematic testing HW Virtualize Cache spill Context switch Migration No False Positives Few False Negatives Runtime Overhead 64 bits 2 â 37% Other HW 72 â 400 â 400 Kbits NO or replicate the HW except one NO or additional HW 0.5%

28 : Ligh htweight hardware support for data race detection during systematic testing Adrian Nistor, Darko Marinov, Josep Torrellas University of Illinois, Urbana Champaign a.cs.uiuc.edu

ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors

ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors Milos Prvulovic, Zheng Zhang*, Josep Torrellas University of Illinois at Urbana-Champaign *Hewlett-Packard

More information

Vulcan: Hardware Support for Detecting Sequential Consistency Violations Dynamically

Vulcan: Hardware Support for Detecting Sequential Consistency Violations Dynamically Vulcan: Hardware Support for Detecting Sequential Consistency Violations Dynamically Abdullah Muzahid, Shanxiang Qi, and University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu MICRO December

More information

Do you have to reproduce the bug on the first replay attempt?

Do you have to reproduce the bug on the first replay attempt? Do you have to reproduce the bug on the first replay attempt? PRES: Probabilistic Replay with Execution Sketching on Multiprocessors Soyeon Park, Yuanyuan Zhou University of California, San Diego Weiwei

More information

InstantCheck: Checking the Determinism of Parallel Programs Using On-the-fly Incremental Hashing

InstantCheck: Checking the Determinism of Parallel Programs Using On-the-fly Incremental Hashing InstantCheck: Checking the Determinism of Parallel Programs Using On-the-fly Incremental Hashing Adrian Nistor University of Illinois at Urbana-Champaign, USA nistor1@illinois.edu Darko Marinov University

More information

Dynamically Detecting and Tolerating IF-Condition Data Races

Dynamically Detecting and Tolerating IF-Condition Data Races Dynamically Detecting and Tolerating IF-Condition Data Races Shanxiang Qi (Google), Abdullah Muzahid (University of San Antonio), Wonsun Ahn, Josep Torrellas University of Illinois at Urbana-Champaign

More information

Speculative Synchronization: Applying Thread Level Speculation to Parallel Applications. University of Illinois

Speculative Synchronization: Applying Thread Level Speculation to Parallel Applications. University of Illinois Speculative Synchronization: Applying Thread Level Speculation to Parallel Applications José éf. Martínez * and Josep Torrellas University of Illinois ASPLOS 2002 * Now at Cornell University Overview Allow

More information

Deterministic Replay and Data Race Detection for Multithreaded Programs

Deterministic Replay and Data Race Detection for Multithreaded Programs Deterministic Replay and Data Race Detection for Multithreaded Programs Dongyoon Lee Computer Science Department - 1 - The Shift to Multicore Systems 100+ cores Desktop/Server 8+ cores Smartphones 2+ cores

More information

FlexBulk: Intelligently Forming Atomic Blocks in Blocked-Execution Multiprocessors to Minimize Squashes

FlexBulk: Intelligently Forming Atomic Blocks in Blocked-Execution Multiprocessors to Minimize Squashes FlexBulk: Intelligently Forming Atomic Blocks in Blocked-Execution Multiprocessors to Minimize Squashes Rishi Agarwal and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

Explicitly Parallel Programming with Shared Memory is Insane: At Least Make it Deterministic!

Explicitly Parallel Programming with Shared Memory is Insane: At Least Make it Deterministic! Explicitly Parallel Programming with Shared Memory is Insane: At Least Make it Deterministic! Joe Devietti, Brandon Lucia, Luis Ceze and Mark Oskin University of Washington Parallel Programming is Hard

More information

DBT Tool. DBT Framework

DBT Tool. DBT Framework Thread-Safe Dynamic Binary Translation using Transactional Memory JaeWoong Chung,, Michael Dalton, Hari Kannan, Christos Kozyrakis Computer Systems Laboratory Stanford University http://csl.stanford.edu

More information

The Common Case Transactional Behavior of Multithreaded Programs

The Common Case Transactional Behavior of Multithreaded Programs The Common Case Transactional Behavior of Multithreaded Programs JaeWoong Chung Hassan Chafi,, Chi Cao Minh, Austen McDonald, Brian D. Carlstrom, Christos Kozyrakis, Kunle Olukotun Computer Systems Lab

More information

Capo: A Software-Hardware Interface for Practical Deterministic Multiprocessor Replay

Capo: A Software-Hardware Interface for Practical Deterministic Multiprocessor Replay Capo: A Software-Hardware Interface for Practical Deterministic Multiprocessor Replay Pablo Montesinos Matthew Hicks Samuel T. King Josep Torrellas University of Illinois at Urbana-Champaign {pmontesi,

More information

Can We Make It Faster? Efficient May-Happen-in-Parallel Analysis Revisited

Can We Make It Faster? Efficient May-Happen-in-Parallel Analysis Revisited Can We Make It Faster? Efficient May-Happen-in-Parallel Analysis Revisited Congming Chen, Wei Huo, Lung Li Xiaobing Feng, Kai Xing State Key Laboratory of Computer Architecture Institute of Computing Technology,

More information

Accelerating Multi-core Processor Design Space Evaluation Using Automatic Multi-threaded Workload Synthesis

Accelerating Multi-core Processor Design Space Evaluation Using Automatic Multi-threaded Workload Synthesis Accelerating Multi-core Processor Design Space Evaluation Using Automatic Multi-threaded Workload Synthesis Clay Hughes & Tao Li Department of Electrical and Computer Engineering University of Florida

More information

ReplayConfusion: Detecting Cache-based Covert Channel Attacks Using Record and Replay

ReplayConfusion: Detecting Cache-based Covert Channel Attacks Using Record and Replay ReplayConfusion: Detecting Cache-based Covert Channel Attacks Using Record and Replay Mengjia Yan, Yasser Shalabi, Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu MICRO

More information

Software-Controlled Multithreading Using Informing Memory Operations

Software-Controlled Multithreading Using Informing Memory Operations Software-Controlled Multithreading Using Informing Memory Operations Todd C. Mowry Computer Science Department University Sherwyn R. Ramkissoon Department of Electrical & Computer Engineering University

More information

DeLorean: Recording and Deterministically Replaying Shared Memory Multiprocessor Execution Efficiently

DeLorean: Recording and Deterministically Replaying Shared Memory Multiprocessor Execution Efficiently : Recording and Deterministically Replaying Shared Memory Multiprocessor Execution Efficiently, Luis Ceze* and Josep Torrellas Department of Computer Science University of Illinois at Urbana-Champaign

More information

ReEnact: Using Thread-Level Speculation Mechanisms to Debug Data Races in Multithreaded Codes

ReEnact: Using Thread-Level Speculation Mechanisms to Debug Data Races in Multithreaded Codes Appears in the Proceedings of the 30th Annual International Symposium on Computer Architecture (ISCA-30), June 2003. ReEnact: Using Thread-Level Speculation Mechanisms to Debug Data Races in Multithreaded

More information

Parallel SimOS: Scalability and Performance for Large System Simulation

Parallel SimOS: Scalability and Performance for Large System Simulation Parallel SimOS: Scalability and Performance for Large System Simulation Ph.D. Oral Defense Robert E. Lantz Computer Systems Laboratory Stanford University 1 Overview This work develops methods to simulate

More information

Chimera: Hybrid Program Analysis for Determinism

Chimera: Hybrid Program Analysis for Determinism Chimera: Hybrid Program Analysis for Determinism Dongyoon Lee, Peter Chen, Jason Flinn, Satish Narayanasamy University of Michigan, Ann Arbor - 1 - * Chimera image from http://superpunch.blogspot.com/2009/02/chimera-sketch.html

More information

Making the Fast Case Common and the Uncommon Case Simple in Unbounded Transactional Memory

Making the Fast Case Common and the Uncommon Case Simple in Unbounded Transactional Memory Making the Fast Case Common and the Uncommon Case Simple in Unbounded Transactional Memory Colin Blundell (University of Pennsylvania) Joe Devietti (University of Pennsylvania) E Christopher Lewis (VMware,

More information

The Design Complexity of Program Undo Support in a General Purpose Processor. Radu Teodorescu and Josep Torrellas

The Design Complexity of Program Undo Support in a General Purpose Processor. Radu Teodorescu and Josep Torrellas The Design Complexity of Program Undo Support in a General Purpose Processor Radu Teodorescu and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Processor with program

More information

Speculative Synchronization

Speculative Synchronization Speculative Synchronization José F. Martínez Department of Computer Science University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/martinez Problem 1: Conservative Parallelization No parallelization

More information

Phoenix: Detecting and Recovering from Permanent Processor Design Bugs with Programmable Hardware

Phoenix: Detecting and Recovering from Permanent Processor Design Bugs with Programmable Hardware Phoenix: Detecting and Recovering from Permanent Processor Design Bugs with Programmable Hardware Smruti R. Sarangi Abhishek Tiwari Josep Torrellas University of Illinois at Urbana-Champaign Can a Processor

More information

Accelerating Dynamic Data Race Detection Using Static Thread Interference Analysis

Accelerating Dynamic Data Race Detection Using Static Thread Interference Analysis Accelerating Dynamic Data Race Detection Using Static Thread Interference Peng Di and Yulei Sui School of Computer Science and Engineering The University of New South Wales 2052 Sydney Australia March

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 14: Photonic Interconnect 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 14: Photonic Interconnect Instructor: Ron Dreslinski Winter 2016 1 1 Announcements 2 Remaining lecture schedule 3/15: Photonics

More information

Snatch: Opportunistically Reassigning Power Allocation between Processor and Memory in 3D Stacks

Snatch: Opportunistically Reassigning Power Allocation between Processor and Memory in 3D Stacks Snatch: Opportunistically Reassigning Power Allocation between and in 3D Stacks Dimitrios Skarlatos, Renji Thomas, Aditya Agrawal, Shibin Qin, Robert Pilawa, Ulya Karpuzcu, Radu Teodorescu, Nam Sung Kim,

More information

ShortCut: Architectural Support for Fast Object Access in Scripting Languages

ShortCut: Architectural Support for Fast Object Access in Scripting Languages Jiho Choi, Thomas Shull, Maria J. Garzaran, and Josep Torrellas Department of Computer Science University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu ISCA 2017 Overheads of Scripting Languages

More information

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Parallel Programming

ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Parallel Programming ECE 259 / CPS 221 Advanced Computer Architecture II (Parallel Computer Architecture) Parallel Programming Copyright 2010 Daniel J. Sorin Duke University Slides are derived from work by Sarita Adve (Illinois),

More information

ECE 669 Parallel Computer Architecture

ECE 669 Parallel Computer Architecture ECE 669 Parallel Computer Architecture Lecture 9 Workload Evaluation Outline Evaluation of applications is important Simulation of sample data sets provides important information Working sets indicate

More information

NON-SPECULATIVE LOAD LOAD REORDERING IN TSO 1

NON-SPECULATIVE LOAD LOAD REORDERING IN TSO 1 NON-SPECULATIVE LOAD LOAD REORDERING IN TSO 1 Alberto Ros Universidad de Murcia October 17th, 2017 1 A. Ros, T. E. Carlson, M. Alipour, and S. Kaxiras, "Non-Speculative Load-Load Reordering in TSO". ISCA,

More information

System Challenges and Opportunities for Transactional Memory

System Challenges and Opportunities for Transactional Memory System Challenges and Opportunities for Transactional Memory JaeWoong Chung Computer System Lab Stanford University My thesis is about Computer system design that help leveraging hardware parallelism Transactional

More information

TERN: Stable Deterministic Multithreading through Schedule Memoization

TERN: Stable Deterministic Multithreading through Schedule Memoization TERN: Stable Deterministic Multithreading through Schedule Memoization Heming Cui, Jingyue Wu, Chia-che Tsai, Junfeng Yang Columbia University Appeared in OSDI 10 Nondeterministic Execution One input many

More information

Miss Penalty Reduction Using Bundled Capacity Prefetching in Multiprocessors

Miss Penalty Reduction Using Bundled Capacity Prefetching in Multiprocessors Miss Penalty Reduction Using Bundled Capacity Prefetching in Multiprocessors Dan Wallin and Erik Hagersten Uppsala University Department of Information Technology P.O. Box 337, SE-751 05 Uppsala, Sweden

More information

Defining a High-Level Programming Model for Emerging NVRAM Technologies

Defining a High-Level Programming Model for Emerging NVRAM Technologies Defining a High-Level Programming Model for Emerging NVRAM Technologies Thomas Shull, Jian Huang, Josep Torrellas University of Illinois at Urbana-Champaign September 13, 2018 Shull et al. Defining a High-Level

More information

Performance and Power Impact of Issuewidth in Chip-Multiprocessor Cores

Performance and Power Impact of Issuewidth in Chip-Multiprocessor Cores Performance and Power Impact of Issuewidth in Chip-Multiprocessor Cores Magnus Ekman Per Stenstrom Department of Computer Engineering, Department of Computer Engineering, Outline Problem statement Assumptions

More information

Optimistic Shared Memory Dependence Tracing

Optimistic Shared Memory Dependence Tracing Optimistic Shared Memory Dependence Tracing Yanyan Jiang1, Du Li2, Chang Xu1, Xiaoxing Ma1 and Jian Lu1 Nanjing University 2 Carnegie Mellon University 1 powered by Understanding Non-determinism Concurrent

More information

Dynamically Detecting and Tolerating IF-Condition Data Races

Dynamically Detecting and Tolerating IF-Condition Data Races Dynamically Detecting and Tolerating IF-Condition Data Races Shanxiang Qi, Abdullah A. Muzahid, Wonsun Ahn, Josep Torrellas University of Illinois at Urbana-Champaign sqi@google.com muzahid@cs.utsa.edu

More information

Multiple Context Processors. Motivation. Coping with Latency. Architectural and Implementation. Multiple-Context Processors.

Multiple Context Processors. Motivation. Coping with Latency. Architectural and Implementation. Multiple-Context Processors. Architectural and Implementation Tradeoffs for Multiple-Context Processors Coping with Latency Two-step approach to managing latency First, reduce latency coherent caches locality optimizations pipeline

More information

Siloed Reference Analysis

Siloed Reference Analysis Siloed Reference Analysis Xing Zhou 1. Objectives: Traditional compiler optimizations must be conservative for multithreaded programs in order to ensure correctness, since the global variables or memory

More information

Cache Performance, System Performance, and Off-Chip Bandwidth... Pick any Two

Cache Performance, System Performance, and Off-Chip Bandwidth... Pick any Two Cache Performance, System Performance, and Off-Chip Bandwidth... Pick any Two Bushra Ahsan and Mohamed Zahran Dept. of Electrical Engineering City University of New York ahsan bushra@yahoo.com mzahran@ccny.cuny.edu

More information

Performance of coherence protocols

Performance of coherence protocols Performance of coherence protocols Cache misses have traditionally been classified into four categories: Cold misses (or compulsory misses ) occur the first time that a block is referenced. Conflict misses

More information

Bundling: Reducing the Overhead of Multiprocessor Prefetchers

Bundling: Reducing the Overhead of Multiprocessor Prefetchers Bundling: Reducing the Overhead of Multiprocessor Prefetchers Dan Wallin and Erik Hagersten Uppsala University, Department of Information Technology P.O. Box 337, SE-751 05 Uppsala, Sweden dan.wallin,

More information

Ad Hoc Synchroniza/on Considered Harmful

Ad Hoc Synchroniza/on Considered Harmful Ad Hoc Synchroniza/on Considered Harmful Weiwei Xiong, Soyoen Park, Jiaqi Zhang, Yuanyuan Zhou and Zhiqiang Ma UC San Diego University of Illinois Intel Synchroniza/on is Important Concurrent programs

More information

Explicitly Parallel Programming with Shared-Memory is Insane: At Least Make it Deterministic!

Explicitly Parallel Programming with Shared-Memory is Insane: At Least Make it Deterministic! Explicitly Parallel Programming with Shared-Memory is Insane: At Least Make it Deterministic! Joe Devietti, Brandon Lucia, Luis Ceze and Mark Oskin Department of Computer Science and Engineering University

More information

Accelerating Data Race Detection with Minimal Hardware Support

Accelerating Data Race Detection with Minimal Hardware Support Accelerating Data Race Detection with Minimal Hardware Support Rodrigo Gonzalez-Alberquilla 1 Karin Strauss 2,3 Luis Ceze 3 Luis Piñuel 1 1 Univ. Complutense de Madrid, Madrid, Spain {rogonzal, lpinuel}@pdi.ucm.es

More information

TECHNIQUES TO DETECT AND AVERT ADVANCED SOFTWARE CONCURRENCY BUGS SHANXIANG QI DISSERTATION

TECHNIQUES TO DETECT AND AVERT ADVANCED SOFTWARE CONCURRENCY BUGS SHANXIANG QI DISSERTATION 2013 Shanxiang Qi TECHNIQUES TO DETECT AND AVERT ADVANCED SOFTWARE CONCURRENCY BUGS BY SHANXIANG QI DISSERTATION Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy

More information

Identifying Ad-hoc Synchronization for Enhanced Race Detection

Identifying Ad-hoc Synchronization for Enhanced Race Detection Identifying Ad-hoc Synchronization for Enhanced Race Detection IPD Tichy Lehrstuhl für Programmiersysteme IPDPS 20 April, 2010 Ali Jannesari / Walter F. Tichy KIT die Kooperation von Forschungszentrum

More information

PageForge: A Near-Memory Content- Aware Page-Merging Architecture

PageForge: A Near-Memory Content- Aware Page-Merging Architecture PageForge: A Near-Memory Content- Aware Page-Merging Architecture Dimitrios Skarlatos, Nam Sung Kim, and Josep Torrellas University of Illinois at Urbana-Champaign MICRO-50 @ Boston Motivation: Server

More information

Deterministic Process Groups in

Deterministic Process Groups in Deterministic Process Groups in Tom Bergan Nicholas Hunt, Luis Ceze, Steven D. Gribble University of Washington A Nondeterministic Program global x=0 Thread 1 Thread 2 t := x x := t + 1 t := x x := t +

More information

Lecture 33: Multiprocessors Synchronization and Consistency Professor Randy H. Katz Computer Science 252 Spring 1996

Lecture 33: Multiprocessors Synchronization and Consistency Professor Randy H. Katz Computer Science 252 Spring 1996 Lecture 33: Multiprocessors Synchronization and Consistency Professor Randy H. Katz Computer Science 252 Spring 1996 RHK.S96 1 Review: Miss Rates for Snooping Protocol 4th C: Coherency Misses More processors:

More information

Pacman: Tolerating Asymmetric Data Races with Unintrusive Hardware

Pacman: Tolerating Asymmetric Data Races with Unintrusive Hardware Pacman: Tolerating Asymmetric Data Races with Unintrusive Hardware Shanxiang Qi, Norimasa Otsuki, Lois Orosa Nogueira, Abdullah Muzahid, and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu

More information

ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors

ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors To appear in the Proceedings of the 29th Annual International Symposium on Computer Architecture (ISCA-29) May 2002 ReVive: Cost-Effective Architectural Support for Rollback Recovery in Shared-Memory Multiprocessors

More information

Deterministic Shared Memory Multiprocessing

Deterministic Shared Memory Multiprocessing Deterministic Shared Memory Multiprocessing Luis Ceze, University of Washington joint work with Owen Anderson, Tom Bergan, Joe Devietti, Brandon Lucia, Karin Strauss, Dan Grossman, Mark Oskin. Safe MultiProcessing

More information

Introduction Contech s Task Graph Representation Parallel Program Instrumentation (Break) Analysis and Usage of a Contech Task Graph Hands-on

Introduction Contech s Task Graph Representation Parallel Program Instrumentation (Break) Analysis and Usage of a Contech Task Graph Hands-on Introduction Contech s Task Graph Representation Parallel Program Instrumentation (Break) Analysis and Usage of a Contech Task Graph Hands-on Exercises 2 Contech is An LLVM compiler pass to instrument

More information

Bundling: Reducing the Overhead of Multiprocessor Prefetchers

Bundling: Reducing the Overhead of Multiprocessor Prefetchers Bundling: Reducing the Overhead of Multiprocessor Prefetchers Dan Wallin and Erik Hagersten Uppsala University, Department of Information Technology P.O. Box 337, SE-751 05 Uppsala, Sweden fdan.wallin,

More information

WeeFence: Toward Making Fences Free in TSO

WeeFence: Toward Making Fences Free in TSO eefence: Toward Making Fences Free in TSO Yuelu Duan, Abdullah Muzahid, Josep Torrellas University of Illinois at Urbana-Champaign duan11@illinois.edu muzahid@cs.utsa.edu torrella@illinois.edu http://iacoma.cs.uiuc.edu

More information

3D Memory Architecture. Kyushu University

3D Memory Architecture. Kyushu University 3D Memory Architecture Koji Inoue Kyushu University 1 Outline Why 3D? Will 3D always work well? Support Adaptive Execution! Memory Hierarchy Run time Optimization Conclusions 2 Outline Why 3D? Will 3D

More information

Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems

Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems 1 Swizzle Switch: A Self-Arbitrating High-Radix Crossbar for NoC Systems Ronald Dreslinski, Korey Sewell, Thomas Manville, Sudhir Satpathy, Nathaniel Pinckney, Geoff Blake, Michael Cieslak, Reetuparna

More information

VIPS: SIMPLE, EFFICIENT, AND SCALABLE CACHE COHERENCE

VIPS: SIMPLE, EFFICIENT, AND SCALABLE CACHE COHERENCE VIPS: SIMPLE, EFFICIENT, AND SCALABLE CACHE COHERENCE Alberto Ros 1 Stefanos Kaxiras 2 Kostis Sagonas 2 Mahdad Davari 2 Magnus Norgen 2 David Klaftenegger 2 1 Universidad de Murcia aros@ditec.um.es 2 Uppsala

More information

Detecting and Tolerating Asymmetric Races

Detecting and Tolerating Asymmetric Races Detecting and Tolerating Asymmetric Races Paruj Ratanaworabhan 1, Martin Burtscher 2, Darko Kirovski 3, Rahul Nagpal 4, Karthik Pattabiraman 5, and Benjamin Zorn 3 1 Cornell University, 2 The University

More information

The Bulk Multicore Architecture for Programmability

The Bulk Multicore Architecture for Programmability The Bulk Multicore Architecture for Programmability Department of Computer Science University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Acknowledgments Key contributors: Luis Ceze Calin

More information

L1 Data Cache Decomposition for Energy Efficiency

L1 Data Cache Decomposition for Energy Efficiency L1 Data Cache Decomposition for Energy Efficiency Michael Huang, Joe Renau, Seung-Moon Yoo, Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/flexram Objective Reduce

More information

Transactional Memory Coherence and Consistency

Transactional Memory Coherence and Consistency Transactional emory Coherence and Consistency all transactions, all the time Lance Hammond, Vicky Wong, ike Chen, rian D. Carlstrom, ohn D. Davis, en Hertzberg, anohar K. Prabhu, Honggo Wijaya, Christos

More information

Phastlane: A Rapid Transit Optical Routing Network

Phastlane: A Rapid Transit Optical Routing Network Phastlane: A Rapid Transit Optical Routing Network Mark Cianchetti, Joseph Kerekes, and David Albonesi Computer Systems Laboratory Cornell University The Interconnect Bottleneck Future processors: tens

More information

Process Synchronization

Process Synchronization Process Synchronization Concurrent access to shared data may result in data inconsistency Multiple threads in a single process Maintaining data consistency requires mechanisms to ensure the orderly execution

More information

Miss-rate Based Dynamic Phase Tracking on Multicore Processors

Miss-rate Based Dynamic Phase Tracking on Multicore Processors Miss-rate Based Dynamic Phase Tracking on Multicore Processors Sourav Dutta Department of Electrical and Computer Engineering Southern Illinois University Carbondale, Illinois 9 899 Email: sourav@siu.edu

More information

POSIX Threads: a first step toward parallel programming. George Bosilca

POSIX Threads: a first step toward parallel programming. George Bosilca POSIX Threads: a first step toward parallel programming George Bosilca bosilca@icl.utk.edu Process vs. Thread A process is a collection of virtual memory space, code, data, and system resources. A thread

More information

SigRace: Signature-Based Data Race Detection

SigRace: Signature-Based Data Race Detection SigRace: Signature-Based Data Race Detection Abdullah Muzahid University of Illinois at Urbana-Champaign, USA muzahid2@illinois.edu Darío Suárez Universidad de Zaragoza Zaragoza, Spain dario@unizar.es

More information

Processes and Non-Preemptive Scheduling. Otto J. Anshus

Processes and Non-Preemptive Scheduling. Otto J. Anshus Processes and Non-Preemptive Scheduling Otto J. Anshus Threads Processes Processes Kernel An aside on concurrency Timing and sequence of events are key concurrency issues We will study classical OS concurrency

More information

A Methodology to Characterize Critical Section Bottlenecks in DSM Multiprocessors

A Methodology to Characterize Critical Section Bottlenecks in DSM Multiprocessors A Methodology to Characterize Critical Section Bottlenecks in DSM Multiprocessors Benjamín Sahelices 1,PabloIbáñez 2,Víctor Viñals 2,andJ.M.Llabería 3 1 Depto. de Informática, Univ. de Valladolid benja@infor.uva.es

More information

DMP Deterministic Shared Memory Multiprocessing

DMP Deterministic Shared Memory Multiprocessing DMP Deterministic Shared Memory Multiprocessing University of Washington Joe Devietti, Brandon Lucia, Luis Ceze, Mark Oskin A multithreaded voting machine 2 thread 0 thread 1 while (more_votes) { load

More information

Prototyping Architectural Support for Program Rollback Using FPGAs

Prototyping Architectural Support for Program Rollback Using FPGAs Prototyping Architectural Support for Program Rollback Using FPGAs Radu Teodorescu and Josep Torrellas http://iacoma.cs.uiuc.edu University of Illinois at Urbana-Champaign Motivation Problem: Software

More information

RelaxReplay: Record and Replay for Relaxed-Consistency Multiprocessors

RelaxReplay: Record and Replay for Relaxed-Consistency Multiprocessors RelaxReplay: Record and Replay for Relaxed-Consistency Multiprocessors Nima Honarmand and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu/ 1 RnR: Record and Deterministic

More information

The SPLASH-2 Programs: Characterization and Methodological Considerations

The SPLASH-2 Programs: Characterization and Methodological Considerations Appears in the Proceedings of the nd Annual International Symposium on Computer Architecture, pages -36, June 995 The SPLASH- Programs: Characterization and Methodological Considerations Steven Cameron

More information

[ 5.4] What cache line size is performs best? Which protocol is best to use?

[ 5.4] What cache line size is performs best? Which protocol is best to use? Performance results [ 5.4] What cache line size is performs best? Which protocol is best to use? Questions like these can be answered by simulation. However, getting the answer write is part art and part

More information

Minimizing the Directory Size for Large-scale DSM Multiprocessors. Technical Report

Minimizing the Directory Size for Large-scale DSM Multiprocessors. Technical Report Minimizing the Directory Size for Large-scale DSM Multiprocessors Technical Report Department of Computer Science and Engineering University of Minnesota 4-192 EECS Building 200 Union Street SE Minneapolis,

More information

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 12: On-Chip Interconnects 1 EECS 598: Integrating Emerging Technologies with Computer Architecture Lecture 12: On-Chip Interconnects Instructor: Ron Dreslinski Winter 216 1 1 Announcements Upcoming lecture schedule Today: On-chip

More information

Diagnosing Production-Run Concurrency-Bug Failures. Shan Lu University of Wisconsin, Madison

Diagnosing Production-Run Concurrency-Bug Failures. Shan Lu University of Wisconsin, Madison Diagnosing Production-Run Concurrency-Bug Failures Shan Lu University of Wisconsin, Madison 1 Outline Myself and my group Production-run failure diagnosis What is this problem What are our solutions CCI

More information

Eraser : A dynamic data race detector for multithreaded programs By Stefan Savage et al. Krish 9/1/2011

Eraser : A dynamic data race detector for multithreaded programs By Stefan Savage et al. Krish 9/1/2011 Eraser : A dynamic data race detector for multithreaded programs By Stefan Savage et al. Krish 9/1/2011 MOTIVATION. Only parallel programs can benefit from today s multi-core processors Multithreading

More information

Comparative Evaluation of Fine- and Coarse-Grain Approaches for Software Distributed Shared Memory

Comparative Evaluation of Fine- and Coarse-Grain Approaches for Software Distributed Shared Memory Comparative Evaluation of Fine- and Coarse-Grain Approaches for Software Distributed Shared Memory Sandhya Dwarkadas,Kourosh Gharachorloo y, Leonidas Kontothanassis z, Daniel J. Scales y, Michael L. Scott,

More information

Predicting Data Races from Program Traces

Predicting Data Races from Program Traces Predicting Data Races from Program Traces Luis M. Carril IPD Tichy Lehrstuhl für Programmiersysteme KIT die Kooperation von Forschungszentrum Karlsruhe GmbH und Universität Karlsruhe (TH) Concurrency &

More information

ECE519 Advanced Operating Systems

ECE519 Advanced Operating Systems IT 540 Operating Systems ECE519 Advanced Operating Systems Prof. Dr. Hasan Hüseyin BALIK (4 th Week) (Advanced) Operating Systems 4. Threads Processes and Threads Types of Threads Multicore and Multithreading

More information

A Study of the Efficiency of Shared Attraction Memories in Cluster-Based COMA Multiprocessors

A Study of the Efficiency of Shared Attraction Memories in Cluster-Based COMA Multiprocessors A Study of the Efficiency of Shared Attraction Memories in Cluster-Based COMA Multiprocessors Anders Landin and Mattias Karlgren Swedish Institute of Computer Science Box 1263, S-164 28 KISTA, Sweden flandin,

More information

Lecture 11: Snooping Cache Coherence: Part II. CMU : Parallel Computer Architecture and Programming (Spring 2012)

Lecture 11: Snooping Cache Coherence: Part II. CMU : Parallel Computer Architecture and Programming (Spring 2012) Lecture 11: Snooping Cache Coherence: Part II CMU 15-418: Parallel Computer Architecture and Programming (Spring 2012) Announcements Assignment 2 due tonight 11:59 PM - Recall 3-late day policy Assignment

More information

Data Criticality in Network-On-Chip Design. Joshua San Miguel Natalie Enright Jerger

Data Criticality in Network-On-Chip Design. Joshua San Miguel Natalie Enright Jerger Data Criticality in Network-On-Chip Design Joshua San Miguel Natalie Enright Jerger Network-On-Chip Efficiency Efficiency is the ability to produce results with the least amount of waste. Wasted time Wasted

More information

ScalableBulk: Scalable Cache Coherence for Atomic Blocks in a Lazy Environment

ScalableBulk: Scalable Cache Coherence for Atomic Blocks in a Lazy Environment calableulk: calable Cache Coherence for Atomic locks in a Lazy Environment Xuehai ian, Wonsun Ahn, and Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Abstract Recently-proposed

More information

A Serializability Violation Detector for Shared-Memory Server Programs

A Serializability Violation Detector for Shared-Memory Server Programs A Serializability Violation Detector for Shared-Memory Server Programs Min Xu Rastislav Bodík Mark Hill University of Wisconsin Madison University of California, Berkeley Serializability Violation Detector:

More information

NDSeq: Runtime Checking for Nondeterministic Sequential Specs of Parallel Correctness

NDSeq: Runtime Checking for Nondeterministic Sequential Specs of Parallel Correctness EECS Electrical Engineering and Computer Sciences P A R A L L E L C O M P U T I N G L A B O R A T O R Y NDSeq: Runtime Checking for Nondeterministic Sequential Specs of Parallel Correctness Jacob Burnim,

More information

SDSM Progression. Implementing Shared Memory on Distributed Systems. Software Distributed Shared Memory. Why a Distributed Shared Memory (DSM) System?

SDSM Progression. Implementing Shared Memory on Distributed Systems. Software Distributed Shared Memory. Why a Distributed Shared Memory (DSM) System? SDSM Progression Implementing Shared Memory on Distributed Systems Sandhya Dwarkadas University of Rochester TreadMarks shared memory for networks of workstations Cashmere-2L - 2-level shared memory system

More information

COMP Parallel Computing. CC-NUMA (2) Memory Consistency

COMP Parallel Computing. CC-NUMA (2) Memory Consistency COMP 633 - Parallel Computing Lecture 11 September 26, 2017 Memory Consistency Reading Patterson & Hennesey, Computer Architecture (2 nd Ed.) secn 8.6 a condensed treatment of consistency models Coherence

More information

2/28/2018. Let s Talk About Testing the Nonogram Code. ECE 220: Computer Systems & Programming. Example Nonogram Code Solution

2/28/2018. Let s Talk About Testing the Nonogram Code. ECE 220: Computer Systems & Programming. Example Nonogram Code Solution University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 220: Computer Systems & Programming Testing the Nonogram Code Let s Talk About Testing the Nonogram Code What

More information

Speculative Locks. Dept. of Computer Science

Speculative Locks. Dept. of Computer Science Speculative Locks José éf. Martínez and djosep Torrellas Dept. of Computer Science University it of Illinois i at Urbana-Champaign Motivation Lock granularity a trade-off: Fine grain greater concurrency

More information

Multiprocessors and Locking

Multiprocessors and Locking Types of Multiprocessors (MPs) Uniform memory-access (UMA) MP Access to all memory occurs at the same speed for all processors. Multiprocessors and Locking COMP9242 2008/S2 Week 12 Part 1 Non-uniform memory-access

More information

Early Experience with Profiling and Optimizing Distributed Shared Cache Performance on Tilera s Tile Processor

Early Experience with Profiling and Optimizing Distributed Shared Cache Performance on Tilera s Tile Processor Early Experience with Profiling and Optimizing Distributed Shared Cache Performance on Tilera s Tile Processor Inseok Choi, Minshu Zhao, Xu Yang, and Donald Yeung Department of Electrical and Computer

More information

Exploiting Spatial Store Locality through Permission Caching in Software DSMs

Exploiting Spatial Store Locality through Permission Caching in Software DSMs Exploiting Spatial Store Locality through Permission Caching in Software DSMs Håkan Zeffer, Zoran Radović, Oskar Grenholm, and Erik Hagersten Uppsala University, Dept. of Information Technology, P.O. Box

More information

The MESI State Transition Graph

The MESI State Transition Graph Small-scale shared memory multiprocessors Semantics of the shared address space model (Ch. 5.3-5.5) Design of the M(O)ESI snoopy protocol Design of the Dragon snoopy protocol Performance issues Synchronization

More information

Production-Run Software Failure Diagnosis via Hardware Performance Counters. Joy Arulraj, Po-Chun Chang, Guoliang Jin and Shan Lu

Production-Run Software Failure Diagnosis via Hardware Performance Counters. Joy Arulraj, Po-Chun Chang, Guoliang Jin and Shan Lu Production-Run Software Failure Diagnosis via Hardware Performance Counters Joy Arulraj, Po-Chun Chang, Guoliang Jin and Shan Lu Motivation Software inevitably fails on production machines These failures

More information

POSH: A TLS Compiler that Exploits Program Structure

POSH: A TLS Compiler that Exploits Program Structure POSH: A TLS Compiler that Exploits Program Structure Wei Liu, James Tuck, Luis Ceze, Wonsun Ahn, Karin Strauss, Jose Renau and Josep Torrellas Department of Computer Science University of Illinois at Urbana-Champaign

More information

LogTM: Log-Based Transactional Memory

LogTM: Log-Based Transactional Memory LogTM: Log-Based Transactional Memory Kevin E. Moore, Jayaram Bobba, Michelle J. Moravan, Mark D. Hill, & David A. Wood 12th International Symposium on High Performance Computer Architecture () 26 Mulitfacet

More information