CSE 237A. Prof. Tajana Simunic Rosing HW #1. Due: January 20th, 2011

Size: px
Start display at page:

Download "CSE 237A. Prof. Tajana Simunic Rosing HW #1. Due: January 20th, 2011"

Transcription

1 CSE 237A Prof. Tajana Simunic Rosing HW #1 Due: January 20th, 2011 All problems should be completed individually except for problem 8, which may be completed with one other student. The student you complete problem 8 with does not have to be the student you complete the rest of the project with. Clearly state at the beginning of your assignment the name of the student you worked with on problem 8. Upload your solutions in PDF format onto WebCT. One team member from each team must also upload the compiled binary file for problem 8. Individual problems: Problem 1. Here is a specification for a brand new OfficePhone2000: A. Draw the StateChart representation of this design. B. If you were to draw this as an FSM, how many states would you need?

2 Problem 2 What does the following SDL diagram do? (<true> signals can be ignored) Draw the FSM representing it. Hint: When two states have the same label, a transition may lead to either state.

3 Problem 3 Consider the Petri net defined by: P = { p1, p2, p3, p4, p5 } T = { t1, t2, t3 } A = { (p1 t1) (p2 t2) (p2 t3) (p3 t3) (t1 p3) (t2 p4) (t3 p5) } A. Draw the Petri net graph B. Let M0 = [ ]. Draw all possible transitions for this Petri net. Is it possible that t3 never fires? Problem 4 An SDF is shown below, with edge labels in dark grey: 6 2 A B 1 3 C A. What is the incidence matrix of the above graph? B. System constraints specify that Task C has to execute before Task B which has to execute before Task A. Is there a PASS schedule? If not, change the SDF so that there is a PASS. Derive the PASS schedule. C. Derive the initial conditions for the schedule defined above in Part C. D. Derive the buffer sizes for the schedule defined above in Part C.

4 Problem 5 Draw a minimum size FSM representing the following StateChart:

5 Problem 6 Draw the FSM that accurately represents functionality of the following Esterel code: Problem 7 Module test; Input a,b,r; Output o; await a; await b; Loop [ await a await b ]; Emit o Each r End module What does the following VHDL code do? Show each step of your evaluation. entity mycomp is port ( end mycomp; i1,i2,i3,i4,i5: in_out BIT; clk: in std_logic; ) architecture arch1 of mycomp is begin process(clk) begin if (clk'event) and (clk='1') then i5 <= i4; i4 <= i3; i3 <= i2; i2 <= i1 xor i5; i1 <= i5; end if; end process; end arch1;

6 Team problem: Problem 8 With one other student, go through the set up procedure described in setup_guide.pdf (found on the course website) to set up your Linux environment, install the required tools, and to cross compile a program ('test.c') to run on an ARM processor. Following the guide, you will: Install/update the following packages: git-core gnupg flex bison gperf libsdldev libesd0-dev libwxgtk2.6-dev squashfs-tools build-essential zip curl libncurses5-dev zlib1g-dev sun-java6-jdk pngcrush Install the Android Debug Bridge Download the source code required for the project By the completing the above steps, you will be prepared to start work on the project. After you have finished following the steps in the guide, a collection of cross-compilers will be installed at ~/android/system/prebuilt/linux-x86/toolchain/arm-eabi-4.4.0/bin/. In that directory you will find arm-eabi-gcc, which is a gcc compiler that generates binaries for ARM processors. Before you will be able to compile your test file, do the following: cd ~/android/htc-kernel-msm7227/ make menuconfig o It will load a menu. Simply exit out of the menu without making changes. make modules Download 'test.c' and 'Makefile' from WebCT and place them in a directory on your machine. Simply enter in the directory where those two files are located: make and the Android build environment will be used to cross compile your file for ARM and output as 'test.o'. To test if the file was compiled correctly, you can run file test.o The output should look something like (we are primarily interested that it says ARM): ELF 32-bit LSB relocatable, ARM, version 1 (SYSV), not stripped Clearly state the name of the student you worked with at the beginning of your HW1 solutions. One team member must upload the compiled binary along with their HW1 solution on WebCT.

WES 237A Project Part 1 Guide

WES 237A Project Part 1 Guide WES 237A Project Part 1 Guide A. Environment Setup Guide Goals The purpose of this document is to prepare your development machine for the project by: 1. Installing any missing, required tools 2. Setting

More information

Project 1 Setup. Some relevant details are the output of: 1. uname -a 2. cat /etc/*release 3. whereis java 4. java -version 5.

Project 1 Setup. Some relevant details are the output of: 1. uname -a 2. cat /etc/*release 3. whereis java 4. java -version 5. Project 1 Setup The purpose of this document is to help you to prepare your development machine for the project by: 1. Installing any missing tools 2. Setting up required environment variables and paths

More information

PROF. TAJANA SIMUNIC ROSING. Final Exam. Problem Max. Points Points 1 25 T/F 2 10 Esterel 3 20 Petri net 4 20 SDF 5 20 EDF/RM 6 20 LS/power Total 115

PROF. TAJANA SIMUNIC ROSING. Final Exam. Problem Max. Points Points 1 25 T/F 2 10 Esterel 3 20 Petri net 4 20 SDF 5 20 EDF/RM 6 20 LS/power Total 115 CSE 237A WINTER 2012 PROF. TAJANA SIMUNIC ROSING Final Exam NAME: ID: Problem Max. Points Points 1 25 T/F 2 10 Esterel 3 20 Petri net 4 20 SDF 5 20 EDF/RM 6 20 LS/power Total 115 INSTRUCTIONS: 1. Please

More information

DEVELOPMENT GUIDE VAB-630. Android BSP v

DEVELOPMENT GUIDE VAB-630. Android BSP v DEVELOPMENT GUIDE VAB-630 Android BSP v1.0.3 1.00-08112017-153900 Copyright Copyright 2017 VIA Technologies Incorporated. All rights reserved. No part of this document may be reproduced, transmitted, transcribed,

More information

MV 4412 Android 4.0 Compilation

MV 4412 Android 4.0 Compilation MV 4412 Android 4.0 Compilation Microvision Co., Ltd. Document Information Version 1.0 File Name MV4412 Android Compilation.doc Date 2012. 7. 12 Satus Working Revision History Date Version Update Descriptions

More information

DEVELOPMENT GUIDE VAB-630. Linux BSP v

DEVELOPMENT GUIDE VAB-630. Linux BSP v DEVELOPMENT GUIDE VAB-630 Linux BSP v1.0.1 100-09182017-114400 Copyright Copyright 2017 VIA Technologies Incorporated. All rights reserved. No part of this document may be reproduced, transmitted, transcribed,

More information

P6: Trial Build of a ROM Nikhil George. 1. Introduction. Overview of the build task. Cite the build/ wiki articles you read.

P6: Trial Build of a ROM Nikhil George. 1. Introduction. Overview of the build task. Cite the build/ wiki articles you read. P6: Trial Build of a ROM Nikhil George 1. Introduction. Overview of the build task. Cite the build/ wiki articles you read. Installation of required packages sudo apt-get install git gnupg flex bison gperf

More information

DEVELOPMENT GUIDE VAB-820. Android BSP v

DEVELOPMENT GUIDE VAB-820. Android BSP v DEVELOPMENT GUIDE VAB-820 Android BSP v5.0.6 1.01-08112017-095100 Copyright Copyright 2017 VIA Technologies Incorporated. All rights reserved. No part of this document may be reproduced, transmitted, transcribed,

More information

MV V310 Android 4.0 Compilation

MV V310 Android 4.0 Compilation MV V310 Android 4.0 Compilation Microvision Co., Ltd. Document Information Version 1.0 File Name MVV310 Android Compilation.doc Date 2012. 4. 17 Satus Working Revision History Date Version Update Descriptions

More information

DEVELOPMENT GUIDE AMOS-825. Android BSP v

DEVELOPMENT GUIDE AMOS-825. Android BSP v DEVELOPMENT GUIDE AMOS-825 Android BSP v5.0.3 1.00-05172018-120700 Copyright Copyright 2018 VIA Technologies Incorporated. All rights reserved. No part of this document may be reproduced, transmitted,

More information

Mars ZX3 Android manual. Antmicro

Mars ZX3 Android manual. Antmicro Mars ZX3 Android manual Antmicro Sep 27, 2017 Contents 1 Introduction 1 1.1 Acknowledgements..................................... 1 1.2 Version information..................................... 1 2 Compiling

More information

ENGR 5865 DIGITAL SYSTEMS

ENGR 5865 DIGITAL SYSTEMS ENGR 5865 DIGITAL SYSTEMS ModelSim Tutorial Manual January 22, 2007 Introduction ModelSim is a CAD tool widely used in the industry for hardware design. This document describes how to edit/add, compile

More information

MV V210 Android 4.0 Compilation

MV V210 Android 4.0 Compilation MV V210 Android 4.0 Compilation Microvision Co., Ltd. Document Information Version 1.0 File Name MVV210 Android Compilation.doc Date 2012. 5. 21 Satus Working Revision History Date Version Update Descriptions

More information

Embedded Systems Programming

Embedded Systems Programming Embedded Systems Programming OS Linux - Toolchain Iwona Kochańska Gdansk University of Technology Embedded software Toolchain compiler and tools for hardwaredependent software developement Bootloader initializes

More information

DEVELOPMENT GUIDE VIA VAB-820. Android BSP v

DEVELOPMENT GUIDE VIA VAB-820. Android BSP v DEVELOPMENT GUIDE VIA VAB-820 Android BSP v5.0.9 1.00-12202018-135700 Copyright Copyright 2018 VIA Technologies Incorporated. All rights reserved. No part of this document may be reproduced, transmitted,

More information

User Guide Yocto Linux. Board Support Package For Intel Quark

User Guide Yocto Linux. Board Support Package For Intel Quark User Guide Yocto Linux Board Support Package For Intel Quark 1 Table of Contents Table of Contents... 2 1. Getting Started... 3 1.1 Prerequisites... 4 1.1.1 To install required packages... 4 1.1.2 To install

More information

CSE 237A. Prof. Tajana Simunic Rosing HW #2. Due: February 1st, 2011

CSE 237A. Prof. Tajana Simunic Rosing HW #2. Due: February 1st, 2011 CSE 237A Prof. Tajana Simunic Rosing HW #2 Due: February 1st, 2011 Problem 1 Consider the following sensor network platform. A PZT device senses a wave sample (S), which is then digitized (AD) and stored

More information

Ingenic. Newton Android Development Guide

Ingenic. Newton Android Development Guide Ingenic Date: Apr. 2014 Ingenic Copyright Ingenic Semiconductor Co. Ltd 2014. All rights reserved. Release history Date Revis ion Apr. 2014 1.0 First release Change Disclaimer This documentation is provided

More information

VHDL And Synthesis Review

VHDL And Synthesis Review VHDL And Synthesis Review VHDL In Detail Things that we will look at: Port and Types Arithmetic Operators Design styles for Synthesis VHDL Ports Four Different Types of Ports in: signal values are read-only

More information

CS356 Operating System Projects Spring Project 2: Android scheduler

CS356 Operating System Projects Spring Project 2: Android scheduler CS356 Operating System Projects Spring 2016 Project 2: Android scheduler Objectives: Compile the Android kernel. Familiarize Android scheduler Implement a random policy in round robin scheduler. Get experience

More information

COVER SHEET: Total: Regrade Info: 5 (5 points) 2 (8 points) 6 (10 points) 7b (13 points) 7c (13 points) 7d (13 points)

COVER SHEET: Total: Regrade Info: 5 (5 points) 2 (8 points) 6 (10 points) 7b (13 points) 7c (13 points) 7d (13 points) EEL 4712 Midterm 2 Spring 2011 VERSION 1 Name: UFID: Sign your name here if you would like for your test to be returned in class: IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read

More information

Zephyr Kernel Installation & Setup Manual

Zephyr Kernel Installation & Setup Manual Zephyr Kernel Installation & Setup Manual Zephyr kernel is a small footprint Single address space OS, i.e, it combines application specific code with a custom kernel to create a monolithic image that gets

More information

VHDL Examples Mohamed Zaky

VHDL Examples Mohamed Zaky VHDL Examples By Mohamed Zaky (mz_rasmy@yahoo.co.uk) 1 Half Adder The Half Adder simply adds 2 input bits, to produce a sum & carry output. Here we want to add A + B to produce Sum (S) and carry (C). A

More information

Kernel configuration The kernel configuration and build system is based on multiple Make files. All Makefiles inside the sub directories in kernel source interacts with the main Makefile which is present

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

QUICK START GUIDE VAB-600. Android BSP v

QUICK START GUIDE VAB-600. Android BSP v QUICK START GUIDE VAB-600 Android BSP v1.2.2 1.00-09072016-160200 Copyright Copyright 2016 VIA Technologies Incorporated. All rights reserved. No part of this document may be reproduced, transmitted, transcribed,

More information

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity

ECEU530. Schedule. ECE U530 Digital Hardware Synthesis. Datapath for the Calculator (HW 5) HW 5 Datapath Entity ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 6, 2006 Classes November 6 and 8 are in 429 Dana! Lecture 15: Homework 5: Datapath How to write a testbench for synchronous

More information

An introduction of operating system project. Seo Bon Keun

An introduction of operating system project. Seo Bon Keun An introduction of operating system project Seo Bon Keun Content Linux introduction Running Linux Developing Kernel Useful Tools Project 0 Project Policy 2 /24 Operating system What is an operating system?

More information

Lab 6: OS Security for the Internet of Things

Lab 6: OS Security for the Internet of Things Department of Computer Science: Cyber Security Practice Lab 6: OS Security for the Internet of Things Introduction The Internet of Things (IoT) is an emerging technology that will affect our daily life.

More information

Active-HDL. Getting Started

Active-HDL. Getting Started Active-HDL Getting Started Active-HDL is an integrated environment designed for development of VHDL designs. The core of the system is a VHDL simulator. Along with debugging and design entry tools, it

More information

Lab 6: OS Security for the Internet of Things

Lab 6: OS Security for the Internet of Things Department of Computer Science: Cyber Security Practice Lab 6: OS Security for the Internet of Things Introduction The Internet of Things (IoT) is an emerging technology that will affect our daily life.

More information

Itron Riva Dev Software Development Getting Started Guide

Itron Riva Dev Software Development Getting Started Guide Itron Riva Dev Software Development Getting Started Guide Table of Contents Introduction... 2 Busybox Command-line [Edge and Mini]... 2 BASH Scripts [Edge and Mini]... 3 C Programs [Edge and Mini]... 5

More information

Complex Text Rendering

Complex Text Rendering BRAC UNIVERSITY Complex Text Rendering Submitted By: Saad Bin Mahbub(07201002) Md. Ashraf-ul-Hauque(08101019) Imran Kader (08101008) 1 Submission Date:12 th April, 2012 2 Complex Text Rendering Submitted

More information

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a

Schedule. ECE U530 Digital Hardware Synthesis. Rest of Semester. Midterm Question 1a ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu November 8, 2006 Midterm Average: 70 Lecture 16: Midterm Solutions Homework 6: Calculator Handshaking HW 6: Due Wednesday, November

More information

Android ROM Porting: A Review

Android ROM Porting: A Review MIT International Journal of Computer Science and Information Technology, Vol. 5, No. 2, August 2015, pp. 71-75 71 Android ROM Porting: A Review Shubham Raj Singh er.shubhamrajs@gmail.com Rameez Arshad

More information

Introduction to the OpenSSD Jasmine Platform

Introduction to the OpenSSD Jasmine Platform Introduction to the OpenSSD Jasmine Platform Prof. Jinkyu Jeong (Jinkyu@skku.edu) TA -- Minwoo Ahn (minwoo.ahn@csl.skku.edu) TA -- Donghyun Kim (donghyun.kim@csl.skku.edu) Computer Systems Laboratory Sungkyunkwan

More information

CS356 Operating System Projects Spring Project 2: Android scheduler

CS356 Operating System Projects Spring Project 2: Android scheduler CS356 Operating System Projects Spring 2018 Project 2: Android scheduler Objectives: Compile the Android kernel. Familiarize Android scheduler Implement a weighted round robin scheduler. Get experience

More information

COE 405, Term 062. Design & Modeling of Digital Systems. HW# 1 Solution. Due date: Wednesday, March. 14

COE 405, Term 062. Design & Modeling of Digital Systems. HW# 1 Solution. Due date: Wednesday, March. 14 COE 405, Term 062 Design & Modeling of Digital Systems HW# 1 Solution Due date: Wednesday, March. 14 Q.1. Consider the 4-bit carry-look-ahead adder (CLA) block shown below: A 3 -A 0 B 3 -B 0 C 3 4-bit

More information

CSE237a Final Exam Winter Prof. Tajana Simunic Rosing. Problem Maximum points Points earned Total 100

CSE237a Final Exam Winter Prof. Tajana Simunic Rosing. Problem Maximum points Points earned Total 100 CSE237a Final Exam Winter 2018 Name: PID: Problem Maximum points Points earned 1 15 2 10 3 15 4 20 5 20 6 20 Total 100 Instructions 1. Write your name on every page. 2. Please make sure your writing is

More information

Operating System. Hanyang University. Hyunmin Yoon Operating System Hanyang University

Operating System. Hanyang University. Hyunmin Yoon Operating System Hanyang University Hyunmin Yoon (fulcanelli86@gmail.com) 2 Linux development ENVIRONMENT 2 3 References ubuntu documentation Kernel/Compile https://help.ubuntu.com/community/kernel/compile 3 4 Tools $ software-properties-gtk

More information

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution Spring 2016

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution Spring 2016 The University of Alabama in Huntsville ECE Department CPE 526 01 Midterm Exam Solution Spring 2016 1. (15 points) Write a VHDL function that accepts a std_logic_vector of arbitrary length and an integer

More information

CS303 LOGIC DESIGN FINAL EXAM

CS303 LOGIC DESIGN FINAL EXAM JANUARY 2017. CS303 LOGIC DESIGN FINAL EXAM STUDENT NAME & ID: DATE: Instructions: Examination time: 100 min. Write your name and student number in the space provided above. This examination is closed

More information

Embedded System Design and Modeling EE382N.23, Fall 2017

Embedded System Design and Modeling EE382N.23, Fall 2017 Embedded System Design and Modeling EE382N.23, Fall 2017 Homework #1 Design Languages Assigned: September 6, 2017 Due: September 18, 2017 September 20, 2017 Instructions: Please submit your solutions via

More information

MAGPIE Installation Guide (version 1.0)

MAGPIE Installation Guide (version 1.0) MAGPIE Installation Guide (version 1.0) June 2017 Authors: Sophiane Senni, Pierre-Yves Péneau, Abdoulaye Gamatié 1 Contents 1 About this guide 3 2 Framework installation 4 2.1 Dependencies...................................

More information

Makefiles SE 2XA3. Term I, 2018/19

Makefiles SE 2XA3. Term I, 2018/19 Makefiles SE 2XA3 Term I, 2018/19 Outline Example Calling make Syntax How it works Macros Suffix rules Command line options Example Assume we have files main.c, test.c, and lo.asm Consider the makefile

More information

ESP32-SOLO-1 OEM Installation Manual

ESP32-SOLO-1 OEM Installation Manual ESP32-SOLO-1 OEM Installation Manual Version 1.0 Copyright 2018 About This Guide Release Notes This document is intended to help users set up the basic software development environment for developing applications

More information

Linux Strace tool user guide

Linux Strace tool user guide Linux Strace tool user guide 2017-10-13 Reversion Record Date Rev Change Description Author 2017-10-13 V0.1 Initial Zhang Yongchang 1 / 9 catalog 1 PURPOSE...4 2 TERMINOLOGY...4 3 ENVIRONMENT...4 3.1 HARDWARE

More information

7.3 Simplest module for embedded Linux drivers

7.3 Simplest module for embedded Linux drivers 401 7.3 Simplest module for embedded Linux drivers Section 7.1 introduce a simple Linux program Hello World, which is run in user mode applications, we now introduce a run in kernel mode Hello World program,

More information

Introduction to Design Vision. Instructor: Prof. Shantanu Dutt. TA: Soumya Banerjee

Introduction to Design Vision. Instructor: Prof. Shantanu Dutt. TA: Soumya Banerjee Introduction to Design Vision Instructor: Prof. Shantanu Dutt TA: Soumya Banerjee We use Synopsys Design Vision for synthesizing the VHDL descriptions. If you are aware in the show schematic option in

More information

Kernel perf tool user guide

Kernel perf tool user guide Kernel perf tool user guide 2017-10-16 Reversion Record Date Rev Change Description Author 2017-10-16 V0.1 Inital Zhang Yongchang 1 / 10 catalog 1 PURPOSE...4 2 TERMINOLOGY...4 3 ENVIRONMENT...4 3.1 HARDWARE

More information

ECM583 Special Topics in Computer Systems

ECM583 Special Topics in Computer Systems ECM583 Special Topics in Computer Systems Lab 2. ARM Cross-Compilation using Eclipse In this lab, we are going to set up an environment to cross-compile ARM code (C and/or Assembly code) under Eclipse.

More information

SDL. Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 年 10 月 18 日. technische universität dortmund

SDL. Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 年 10 月 18 日. technische universität dortmund 12 SDL Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 2017 年 10 月 18 日 Springer, 2010 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models

More information

REX-RED Community Android 4.3

REX-RED Community Android 4.3 REX-RED Community Android 4.3 Build Guide REXNOS CO.,Ltd Document Information Version 1.1 File Name REX5260 Android 4.3 Build Guide.doc Date May 20, 2014 Status Working Revision History Date Version Update

More information

How to install the UpScale SDK compilation framework for the Kalray MPPA Workstation

How to install the UpScale SDK compilation framework for the Kalray MPPA Workstation How to install the UpScale SDK compilation framework for the Kalray MPPA Workstation On a Linux machine v1.0, January 2017 SCORDINO, Claudio ROYUELA, Sara QUIÑONES, Eduardo Table of contents Requirements...

More information

icancloud Quick Installation Guide

icancloud Quick Installation Guide icancloud Quick Installation Guide Jesús Carretero Pérez Gabriel González Castañé Javier Prieto Cepeda Grupo de Arquitectura de Computadores Universidad Carlos III de Madrid 1 Table of contents 1 Introduction...

More information

QEMU: Architecture and Internals Lecture for the Embedded Systems Course CSD, University of Crete (April 18, 2016)

QEMU: Architecture and Internals Lecture for the Embedded Systems Course CSD, University of Crete (April 18, 2016) QEMU: Architecture and Internals Lecture for the Embedded Systems Course CSD, University of Crete (April 18, 2016) ManolisMarazakis (maraz@ics.forth.gr) Institute of Computer Science (ICS) Foundation for

More information

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University

ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University ECEN 449: Microprocessor System Design Department of Electrical and Computer Engineering Texas A&M University Prof. Sunil P. Khatri Lab exercise created and tested by: Abbas Fairouz, Ramu Endluri, He Zhou,

More information

Lab2 - Bootloader. Conventions. Department of Computer Science and Information Engineering National Taiwan University

Lab2 - Bootloader. Conventions. Department of Computer Science and Information Engineering National Taiwan University Lab2 - Bootloader 1 / 20 Cross-compile U-Boot. Connect to Raspberry Pi via an USB-TTL cable. Boot Raspberry Pi via U-Boot. 2 / 20 Host Machine OS: Windows Target Machine Raspberry Pi (2 or 3) Build Machine

More information

Advanced module: Video en/decoder on Virtex 5

Advanced module: Video en/decoder on Virtex 5 Advanced module: Video en/decoder on Virtex 5 Content 1. Advanced module: Video en/decoder on Virtex 5... 2 1.1. Introduction to the lab environment... 3 1.1.1. Remote control... 4 1.2. Getting started

More information

EITF35: Introduction to Structured VLSI Design

EITF35: Introduction to Structured VLSI Design EITF35: Introduction to Structured VLSI Design Part 2.2.2: VHDL-3 Liang Liu liang.liu@eit.lth.se 1 Outline Inference of Basic Storage Element Some Design Examples DFF with enable Counter Coding Style:

More information

Introduction to Pintos

Introduction to Pintos Introduction to Pintos Prof. Jin-Soo Kim ( jinsookim@skku.edu) TAs Jong-Sung Lee (leitia@csl.skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Welcome to Pintos! What is

More information

CSE 237A. Prof. Tajana Simunic Rosing HW #2. Due: February 1st, 2011

CSE 237A. Prof. Tajana Simunic Rosing HW #2. Due: February 1st, 2011 CSE 7A Prof. Tajana Simunic Rosing HW # Due: February st, Problem Consider the following sensor network platform. A PZT device senses a wave sample (S), which is then digitized (AD) and stored for processing

More information

Formatting 1. Commands starting with $ are Linux console commands on the host PC:

Formatting 1. Commands starting with $ are Linux console commands on the host PC: Custom Kernel Guide by Arrvindh Shriraman Last update: April 1, 2016 This document guides the user through: 1. Downloading and compiling the Linux kernel's source code. 2. Running a custom kernel inside

More information

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008

ECSE-323 Digital System Design. Lab #1 Using the Altera Quartus II Software Fall 2008 1 ECSE-323 Digital System Design Lab #1 Using the Altera Quartus II Software Fall 2008 2 Introduction. In this lab you will learn the basics of the Altera Quartus II FPGA design software through following

More information

About this lab. Lab course Operating Systems. Study achievment. Goal Develop an own operating system... from the first code line on...

About this lab. Lab course Operating Systems. Study achievment. Goal Develop an own operating system... from the first code line on... About this lab Lab course Operating Systems Mario Haustein Chemnitz University of Technology The typical lab course Well defined problem statement Deterministic solutions Stable environment This lab course:

More information

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel TU Dortmund, Informatik /10/08

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel TU Dortmund, Informatik /10/08 12 Data flow models Peter Marwedel TU Dortmund, Informatik 12 2009/10/08 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Models of computation considered in this course Communication/ local computations

More information

C03c: Linkers and Loaders

C03c: Linkers and Loaders CISC 3320 MW3 C03c: Linkers and Loaders Hui Chen Department of Computer & Information Science CUNY Brooklyn College 2/4/2019 CUNY Brooklyn College: CISC 3320 OS 1 Outline Linkers and linking Loaders and

More information

Embedded System Design

Embedded System Design Embedded System Design Term Project Porting(v1.04) Jaeyong Chung SoC Laboratory Incheon National University Porting The reason why you install an OS on your embedded system is not only to do multi-tasking

More information

Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego.

Modeling Embedded Systems. Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. Modeling Embedded Systems Tajana Simunic Rosing Department of Computer Science and Engineering University of California, San Diego. 1 ES Design Hardware components Hardware 2 Tajana Simunic Rosing Verification

More information

EECS 373 Design of Microprocessor-Based Systems

EECS 373 Design of Microprocessor-Based Systems EECS 373 Design of Microprocessor-Based Systems Mark Brehob University of Michigan Lecture 3: Toolchain, ABI, Memory Mapped I/O Sept. 12 th, 2018 Slides developed in part by Prof. Dutta 1 Announcements

More information

CMPT 250: Computer Architecture. Using LogicWorks 5. Tutorial Part 1. Somsubhra Sharangi

CMPT 250: Computer Architecture. Using LogicWorks 5. Tutorial Part 1. Somsubhra Sharangi CMPT 250: Computer Architecture Using LogicWorks 5 Tutorial Part 1 Somsubhra Sharangi What is VHDL? A high level language to describe digital circuit Different that a programming language ( such as Java)

More information

CS Prof J.P.Morrison

CS Prof J.P.Morrison CS1061 2018-2019 Prof J.P.Morrison C Programming C is the most popular language worldwide. Everything from microcontrollers to operating systems is written in C flexible and versatile, allowing maximum

More information

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit VHDL VHDL Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit VHDL Alternative (Student Generated) Definition Very Hard Digital Logic language VHDL Design

More information

CSE 4/521 Introduction to Operating Systems

CSE 4/521 Introduction to Operating Systems CSE 4/521 Introduction to Operating Systems Lecture 3 Operating Systems Structures (Operating-System Services, User and Operating-System Interface, System Calls, Types of System Calls, System Programs,

More information

TrinityCore Documentation

TrinityCore Documentation TrinityCore Documentation Release TrinityCore Developers February 21, 2016 Contents 1 Compiling TrinityCore 3 1.1 Requirements............................................... 3 1.2 Build Environment............................................

More information

Building U-Boot in CodeWarrior ARMv8

Building U-Boot in CodeWarrior ARMv8 NXP Semiconductors Document Number: AN5347 Application Note Rev. 0, 10/2016 Building U-Boot in CodeWarrior ARMv8 1 Introduction This application note defines guidelines for configuring CodeWarrior for

More information

Lab 5: Android Development Environment

Lab 5: Android Development Environment Lab 5: Android Development Environment The goal is to download the kernel sources, a cross compiler, some tools for accessing an Android OS, and an emulator. A new kernel for the ARM architecture will

More information

PaPP cross-platform development system version 15.0

PaPP cross-platform development system version 15.0 PaPP cross-platform development system version 15.0 Laust Brock-Nannestad, DTU October 14, 2015 Contents 1 Introduction 3 1.1 Updates..................................... 3 2 Setting up the development

More information

Raspberry Pi Network Boot

Raspberry Pi Network Boot Raspberry Pi Network Boot @Phenomer October 22, 2014 1 Raspberry Pi SD initrd 2 /srv/pxe ( ) /srv/pxe /srv/pxe/tftp - TFTP /srv/pxe/tftp/pxelinux.cfg - /srv/pxe/repo - /srv/pxe/initrd - initrd % sudo mkdir

More information

Luleå University of Technology Kurskod SMD152 Datum Skrivtid

Luleå University of Technology Kurskod SMD152 Datum Skrivtid Luleå University of Technology Kurskod SMD152 Datum 2003-10-24 Skrivtid 9.00 13.00 1 Manual synthesis (10 p, 2 p each) Here you are given five different VHDL models. Your task is to draw the schematics

More information

Building a ROM for Android on Ubuntu in Virtualbox

Building a ROM for Android on Ubuntu in Virtualbox Building a ROM for Android on Ubuntu 14.04 in Virtualbox 1. Introduction a) Read AOSP Tour from "Embedded Android" Book b) Created Ubuntu 14.04 Virtual Machine with 2 CPUs, 5GB RAM and 200GB dynamic allocation

More information

Luleå University of Technology Kurskod SMD098 Datum Skrivtid

Luleå University of Technology Kurskod SMD098 Datum Skrivtid Luleå University of Technology Kurskod SMD098 Datum 2001-12-17 Skrivtid 14.00 18.00 Tentamen i Beräkningstrukturer Antal uppgifter: 6 Max poäng: 35 Lärare: Jonas Thor Telefon: 2549 Tillåtna hjälpmedel:

More information

MiniEMBWiFi Embedded controller SKU16184

MiniEMBWiFi Embedded controller SKU16184 MiniEMBWiFi Embedded controller SKU16184 Technical overview 1 of 6 1. Technical details Ralink RT3050 based board 320Mhz CPU, MIPS 24KEc 1x UART serial port / programming port 8MB Flash / 32MB RAM 1x USB2.0

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - V Introduction to Verilog Hardware Description Language Introduction HDL for combinational circuits Sequential circuits Registers and counters HDL description for binary multiplier. 5.1 INTRODUCTION

More information

FSMs & message passing: SDL

FSMs & message passing: SDL 12 FSMs & message passing: SDL Peter Marwedel TU Dortmund, Informatik 12 Springer, 2010 2012 年 10 月 30 日 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models of computation

More information

HDL Cosimulation August 2005

HDL Cosimulation August 2005 HDL Cosimulation August 2005 Notice The information contained in this document is subject to change without notice. Agilent Technologies makes no warranty of any kind with regard to this material, including,

More information

Adding hardware support to Buildroot

Adding hardware support to Buildroot Adding hardware support to Buildroot Pierre Ficheux (pierre.ficheux@openwide.fr) CTO Open Wide / OS4I 08/07/2010 1 Several ways to get a distribution Using commercial product (Wind River, MV, ) => / $$$

More information

NIOS Character. Last updated 7/16/18

NIOS Character. Last updated 7/16/18 NIOS Character Last updated 7/16/18 Character Buffer Block Diagram CLK RST Clock Reset_bar CLK RST PLL 25MHz* CPU Onchip Memory JTAG UART Timer System ID S M S S S S S M S Character Buffer DMA Dual Port

More information

Using Eclipse for C Programming

Using Eclipse for C Programming Using Eclipse for C Programming George Ferguson ferguson@cs.rochester.edu June 2018 Abstract Students are used to using the Eclipse IDE for programming in Java. This document describes how to install and

More information

Mridula Allani Fall Fall

Mridula Allani Fall Fall Mridula Allani Fall 2010 Fall 2010 1 Model and document digital systems Hierarchical models System, RTL (Register Transfer Level), gates Different levels of abstraction Behavior, structure Verify circuit/system

More information

Process Environment. Pradipta De

Process Environment. Pradipta De Process Environment Pradipta De pradipta.de@sunykorea.ac.kr Today s Topic Program to process How is a program loaded by the kernel How does kernel set up the process Outline Review of linking and loading

More information

Introduction to VHDL #1

Introduction to VHDL #1 ECE 3220 Digital Design with VHDL Introduction to VHDL #1 Lecture 3 Introduction to VHDL The two Hardware Description Languages that are most often used in industry are: n VHDL n Verilog you will learn

More information

Cubieboard4 Linux Sdk Guide TF BOOT & TF WRITE EMMC. Website: Support:

Cubieboard4 Linux Sdk Guide TF BOOT & TF WRITE EMMC. Website:  Support: Cubieboard4 Linux Sdk Guide TF BOOT & TF WRITE EMMC Website:http://cubieboard.org/ Support: support@cubietech.com Version Author Modification Check V-0.1-20141226 A.K Init version V-1.0-20150113 A.K Release

More information

Reconfigurable Hardware Design (coursework)

Reconfigurable Hardware Design (coursework) EEE8076 Reconfigurable Hardware Design (coursework) Dr A. Bystrov Dr. E.G. Chester Autumn 2010 Module Outline Teaching Staff Dr Alex Bystrov Dr Graeme Chester The contact details are in the EECE web page

More information

Sign here to give permission for your test to be returned in class, where others might see your score:

Sign here to give permission for your test to be returned in class, where others might see your score: EEL 4712 Midterm 2 Spring 216 VERSION 1 Name: UFID: Sign here to give permission for your test to be returned in class, where others might see your score: IMPORTANT: Please be neat and write (or draw)

More information

VHDL for FPGA Design. by : Mohamed Samy

VHDL for FPGA Design. by : Mohamed Samy VHDL for FPGA Design by : Mohamed Samy VHDL Vhdl is Case insensitive myvar = myvar = MYVAR IF = if = if Comments start with -- Comments can exist anywhere in the line Semi colon indicates the end of statements

More information

ISA 564, Laboratory I: Buffer Overflows

ISA 564, Laboratory I: Buffer Overflows ISA 564, Laboratory I: Buffer Overflows Lab Submission Instructions To complete the lab, you need to submit the compressed files (either tar or zip) using the GMU Blackboard system. Please make sure that

More information

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture

Assignment. Last time. Last time. ECE 4514 Digital Design II. Back to the big picture. Back to the big picture Assignment Last time Project 4: Using synthesis tools Synplify Pro and Webpack Due 11/11 ning of class Generics Used to parameterize models E.g., Delay, bit width Configurations Configuration specification

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-399 Technical notes on using Analog Devices DSPs, processors and development tools Visit our Web resources http://www.analog.com/ee-notes and http://www.analog.com/processors

More information

Introduction to LLVM. UG3 Compiling Techniques Autumn 2018

Introduction to LLVM. UG3 Compiling Techniques Autumn 2018 Introduction to LLVM UG3 Compiling Techniques Autumn 2018 Contact Information Instructor: Aaron Smith Email: aaron.l.smith@ed.ac.uk Office: IF 1.29 TA for LLVM: Andrej Ivanis Email: andrej.ivanis@ed.ac.uk

More information