ScienceDirect. Power-Aware Mapping for 3D-NoC Designs using Genetic Algorithms

Size: px
Start display at page:

Download "ScienceDirect. Power-Aware Mapping for 3D-NoC Designs using Genetic Algorithms"

Transcription

1 Available online at ScienceDirect Procedia Computer Science 34 (2014 ) International Workshop on the Design and Performance of Networks on Chip (DPNoC 2014) Power-Aware Mapping for 3D-NoC Designs using Genetic Algorithms Haytham Elmiligi a,, Fayez Gebali b, M. Watheq El-Kharashi c a Computing Science Department, Thompson Rivers University, Kamloops, BC, Canada b Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada c Department of Computer and Systems Engineering, Ain Shams University, Cairo 11517, Egypt Abstract Scalable 3D Networks-on-Chip (NoC) designs are needed to match the ever-increasing communication and low-power demands of large-scale multi-core applications. However, chip designers do not have the necessary tools to implement their applications efficiently at different layers of the design hierarchy. A design methodology for low power 3D-NoCs applications is needed to achieve the best performance. To address this problem, we use Genetic Algorithms to find the best 3D-NoC mesh network mapping that achieves minimum power consumption for a given application. As a proof of concept, a case study of a multicore application that has 32 symmetric microprocessors is presented. We used Genetic Algorithms to calculate the fitness function and solve the optimization problem in less than four minutes, whereas it took over three days using exhaustive search and yet to find the minimum power consumption. c 2014 Elsevier The Authors. B.V. Published This an by open Elsevier access B.V. article under the CC BY-NC-ND license ( Selection and peer-review under responsibility of Elhadi M. Shakshuki. Selection and peer-review under responsibility of Conference Program Chairs Keywords: 3D-NoCs, genetic algorithms, IP mapping, power modeling, power optimization. 1. Introduction Current research focuses on designing efficient Networks-on-Chip (NoC) interconnect without considering the target application. This results in a mismatch between the application requirements and efficient system resource utilization. This mismatch leads to poor system performance and/or excessive use of silicon area. Poor performance arises when the data traffic for a certain application exceeds design processing capabilities, whereas an excessive use of the silicon area takes place if the design resources are over estimated. An alternative is to design an NoC that takes into consideration the intended target application, which is referred to as Application Specific NoC (ASNoC). Before we get into further details, we need to distinguish between 3D-ICs and 3D-NoCs. A 3D-IC chip has more than one physical plane and all Intellectual Property (IP) cores in the chip could be integrated either on a single physical plane or on several physical planes. If an NoC is utilized in a 3D-IC, it could be implemented as a 2D- Corresponding author. Tel.: ; fax: address: haytham@ieee.org Elsevier B.V. This is an open access article under the CC BY-NC-ND license ( Selection and peer-review under responsibility of Conference Program Chairs doi: /j.procs

2 Haytham Elmiligi et al. / Procedia Computer Science 34 ( 2014 ) NoC (i.e., routers are implemented on a single physical layer) or as a 3D-NoC (i.e., routers are implemented in multiphysical plans). In 3D-NoC, a router in one plane could be connected to routers located on the same or adjacent physical planes. In other words, having a 3D-NoC used to connect IP cores inside a 3D-IC does not mean that all 3D-ICs utilize 3D-NoCs. This paper is organized as follow. Section 2 presents a summary of related works. Section 3 introduces a new power model for 3D-NoCs. Section 4 formulates an optimization problem to minimize the power consumption of 3D-NoC-based applications. Section 5 shows a case study that represents the average traffic between 32 symmetric microprocessors as a proof of concept. Finally, we draw conclusions and suggest ideas for future work in Section Background Power optimization in 3D-NoC-based designs has become more critical with the use of high speed and complex ICs in mobile and portable applications 1. Managing power in such cases does not only target power reduction, but also ensures that all IPs receive proper and efficient amount of power to keep the application stable and reliable. Power constraints are among the major bottlenecks that limit functionality and performance of complex NoC-based designs 2. One of the most effective approaches to address the high power dissipation problem is to design a network architecture that achieves the lowest possible power consumption 3,4,5. Although this might not resolve the problem completely, it has a significant impact on the chip power consumption. At early design phases, the exact physical layout structure is not yet defined and designers do not have enough layout information to choose the most power-efficient network topology for their target applications. Researchers tried to solve this limitation by developing analytical models that could be used to evaluate the power consumption at early design phases 6,7. However, the proposed models cannot be used to identify the power consumption of a single physical link or a single path. Moreover, they do not take into consideration the traffic distribution of the target application and its impact on the power calculations. The work presented in this paper focuses on 3D-NoCs as extension in the third dimension to the works presented in 8,9,10, which addressed only 2D interconnection network. The work in 8 introduced a new methodology to reduce the total power consumption of the global router-to-router links by selecting the optimal 2D network topology. An extended version with more analysis and case studies is presented in 9 and a joint consideration of power, delay, and reliability is presented in 10 for 2D-NoCs. In this paper, we extend the graph-theoretic concepts introduced in 8,9,10 for 2D-NoCs to 3D-NoCs, introduce a new model for the power consumption in 3D-mesh networks, and use GA to minimize the power consumption of 3D-NoC based applications. 3. Power Modeling for 3D-Mesh NoCs 3.1. Graph-Theoretic Concepts Mesh-based topologies are becoming more popular for NoC implementations because they have many advantageous over other topologies in terms of fabrication and performance properties. Mesh networks have fixed-size rectangular tiles, which make them easier to implement and reuse in different applications. They also have a high reliability against edge failures. Unlike tree or ring based networks, there is always an alternative path if one edge fails. Therefore, we focus on the mesh topology structure in this paper 11. The topological structure of any mesh network can be represented by a graph G(V,E,W,Λ), where each node v k V represents an IP 1. E is a set of edges that represent the logical communication channels (i.e., paths) between IPs. w ij W represents the weight of the corresponding physical link e ij and λ ij Λ is the traffic, in number of packets, from v i to v j. The number of router nodes (n) in any 3D-mesh network equals n 1 n 2 n 3, where n 1, n 2, n 3 are the network dimensions. On the same context, an adjacency matrix (A) could be used to represent the physical network architecture 12. The adjacency matrix represents the direct (one-hop) paths between all pairs of network nodes. Optimizing the power consumption of NoC applications can be achieved based on graph-theoretic analysis. To connect a large number of IPs through a 3D-mesh NoC, the allocation of the IPs over the mesh nodes must be 1 In standard mesh networks, each single IP is connected to a single router.

3 540 Haytham Elmiligi et al. / Procedia Computer Science 34 ( 2014 ) optimally chosen. To achieve this goal, we use Dijkstra s algorithm 2 to find the least cost path in a graph that can be used to exchange data between all IPs in the network 14,15. The cost function here is defined as the power consumption Modeling the Cost Function The cost function is designed to represent the power consumed by links and routers in 3D-mesh networks. The power model for the links (P link ) is developed based on the link power presented in 16,17 as follows 18. P link = P switching + P short + P static The router s power (P router ) is analyzed using a set of VHDL synthesized routers. We carried out 48 experiments to calculate the power consumptioned using Synopsys Design Compiler, VHDLSIM, and Power Compiler tools based on switching activity 18. Based on these experiments, the total power consumption to transmit a single packet from node v i to node v j can be calculated as follows (1) P ij = P linkij + P routerij (2) To calculate the network total power consumption, we create a new matrix (W) to represent the power consumption of all network logical paths. To construct the weight matrix (W), we have to complete the following steps: 1. Power Matrix: A non-symmetric power matrix P = [P ij ] is created, where P ij is calculated from (2). The power matrix represents the total power consumption to transmit a single packet from node v i to node v j. This means, each entry in the adjacency matrix (A) will have a corresponding entry in the power matrix (P) to represent the power consumption of all physical paths in the network. 2. Using Dijkstra s Algorithm: Dijkstra s algorithm is used to generate a new n n square matrix (W) from the power matrix (P). W = [w ij ] is a weight matrix, where each entry w ij represents the minimum power needed to transmit a single packet from node v i to node v j, assuming shortest path routing 19. The wights in (W) are calculated assuming shortest path routing. Fig. 1. (a) An example of a mesh network. (b) An example of a GA Chromosome that represents the IP mapping in (a), where A is the adjacency matrix of the network topology in (a). The main difference between the power matrix (P) and the weight matrix (W) is that P represents only the power consumption to transmit a packet over a physical link (i.e., over a real physical link that connects two routers), whereas W is generated by Dijkstra s algorithm and represents the minimum power needed to transmit a packet over each logical path. The significance of W is that it could be used to find the shortest path routing protocol and, at the same time, it could be used to derive an accurate power model for 3D-NoCs. 2 Dijkstra s algorithm is an efficient algorithm that finds a path with lowest cost. Readers can refer to 13 for more information about Dijkstra s algorithm.

4 Haytham Elmiligi et al. / Procedia Computer Science 34 ( 2014 ) For any application that is represented by a traffic distribution matrix (Λ), the total power consumption can be evaluated using 18 : P total = w i, j λ i, j (3) i=1 j=1 This new model for the total power consumption for 3D-mesh NoC takes into consideration the differences between vertical and horizontal links as well as the impact of the routing algorithm. The proposed model allows designers to specify the power consumed when a packet is transmitted over each single physical link in a network, which is not supported by other models in the literature. Moreover, the power model in (3) utilizes the target application traffic matrix. This feature allows designers to evaluate the power consumption at different modes of operation for the same application at early design phases. 4. Problem Formulation Figure 1(a) shows an example of a mesh network and Figure 1(b) shows an example of a GA Chromosome that represents the IP mapping in (a). The chromosome consists of two parts. The first part is the network architecture and the second part is the IP mapping. The network architecture is represented by the adjacency matrix A and the network dimensions, whereas the IP mapping is represented by a vector of size n. The IP mapping vector is used to arrive at the best allocation of IPs to the nodes for any NoC system. The optimization problem could be formulated as follows. For a given application represented by a Traffic Distribution Graph (TDG) and a traffic distribution matrix (Λ) and a network architecture whose logical-path-power is presented by a weight matrix (W), it is required to find the optimum 3D network topology/mapping pair by minimizing the following objective function 18. Minimize P total = w i, j λ i, j (4) i=1 j=1 subject to: a ij = a ji n = n 1 n 2 n 3 (5) where A = [a ij ] represents the adjacency matrix of the target topology and n is the number of IPs in the network. 5. Experimental Work In this section, we explain how a framework is developed to find the best 3D-mesh architecture for an ASNoC design. As an example, we study the 3D-NoC implementation of a 32-core symmetric multi-processor system. A symmetric multi-processor system consists of homogeneous microprocessors running independently, i.e., each microprocessor executes an independent function or procedure. Microprocessors use different data but have the capability of sharing common internal system resources, such as sharing access to a common memory unit or I/O interfaces. We setup an experiment to simulate a scenario in which we 32 microprocessors exchange data through a common memory unit. This experiment is prepared to represent large number of applications that use symmetric microprocessors, such as video processing and data accusation systems. We present this experiment to prove that the same methodology that we use in this case study can be used for symmetric multi-processor applications. For a practical implementation, we consider the following constraints/assumptions for the target 3D-NoC structure: 1) The target NoC is implemented in a 3D-IC structure, 2) The locations of TSVs between layers are pre-defined due to fabrication requirements/specifications, 3) Routers are laid out on a rectilinear grid in each layer and the dimensions of the grids are identical in each layer, 4) The maximum number of external links for each router does not exceed four and the

5 542 Haytham Elmiligi et al. / Procedia Computer Science 34 ( 2014 ) Fig. 2. Results for optimizing the power consumption of the symmetric multicore application using GA. Fig. 3. (a) The weight matrix (W) for the 3D-mesh NoC. (b) Mapping 32 multiprocessors to 3D-mesh NoC. minimum number is one, 5) The number of grid points in each layer is defined based on estimated layout information at early design phases, 6) The number of routers equals the number of IPs and each router is associated with one IP. We start off by generating a traffic distribution matrix to represent the average traffic between 32 symmetric microprocessors. The traffic matrix is generated in Matlab to represent a uniform traffic distribution between the microprocessors through a shared memory. Then, we use Matlab GA toolbox to calculate the fitness function in (4) and find the best 3D-NoC mesh network that achieves a minimum power consumption. Figure 2 shows the GA results for finding the best mapping that achieves the lowest power consumption for a symmetric multicore application. As shown in the figure, our method could be used to find the global minimum point

6 Haytham Elmiligi et al. / Procedia Computer Science 34 ( 2014 ) that achieves the lowest power consumption. The curves show a smooth convergence towards the minimum point using GA. The weight matrix was generated as shown in Figure 3(a) and the final mapping of the 32 multiprocessors is generated, as shown in Figure 3(b). The lowest power calculated based on this mapping is e+006 mw and Matlab took 339 seconds to generate the GA results. The GA solves the optimization problem in less than four minutes whereas it took over three days using exhaustive search and yet to find the minimum power consumption. 6. Conclusion and future work In this paper, we explained how to calculate the power consumption of any 3D-NoC-based design through a graphtheoretic approach. Graph-theoretic approach proved that it is extremely powerful in modeling NoC performance metrics. We developed a new model that can be used at early design phases to calculate the power consumption based on the application traffic pattern. Our model utilizes the application s TDG, which improves the accuracy of the power calculation, compared to other generic models. We also considered the routing protocol in our power calculations for 3D-mesh NoC-based application. Dijkstra s algorithm was used to find the shortest path routing. The proposed model was then used to find the optimum mapping for any given application. We used GA to find the network mapping that achieves minimum power consumption. We are planning to extend this work in two directions. The first direction is to use the same methodology to find the optimum network topology and mapping when we have different design constraints; such as fixed IP mapping or limited number of TSVs. The second direction is to consider other network topologies such as ring and tree networks. References 1. B. H. Meyer, J. J. Pieper, J. M. Paul, J. E. Nelson, S. M. Pieper, A. G. Rowe, Power-performance simulation and design strategies for single-chip heterogeneous multiprocessors, IEEE Transactions on Computers 54 (6) (2005) N. Banerjee, P. Vellanki, K. S. Chatha, A power and performance model for network-on-chip architectures, in: Proceedings of the Design, Automation and Test in Europe (DATE 04), Paris, France, 2004, pp V. Pavlidis, E. Friedman, 3-D topologies for networks-on-chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems 15 (10) (2007) doi: /tvlsi B. Feero, P. Pande, Networks-on-chip in a three-dimensional environment: A performance evaluation, IEEE Transactions on Computers 58 (1) (2009) doi: /tc A. Morgan, H. Elmiligi, M. El-Kharashi, F. Gebali, Unified multi-objective mapping and architecture customisation of networks-on-chip, IET Computers Digital Techniques 7 (6) (2013) doi: /iet-cdt A. Sheibanyrad, F. Ptrot, A. Jantsch, 3D Integration for NoC-based SoC Architectures, Springer-Verlag, G. Reehal, M. Ismail, A systematic design methodology for low-power nocs (2014). doi: /tvlsi H. Elmiligi, A. A. Morgan, M. W. El-Kharashi, F. Gebali, Power-aware topology optimization for networks-on-chips, in: Proceedings of the 2008 International Symposium on Circuits and Systems (ISCAS 2008), Seattle, WA, 2008, pp H. Elmiligi, A. A. Morgan, M. W. El-Kharashi, F. Gebali, Power optimization for application-specific networks-on-chips: A topology-based approach, Microprocessors and Microsystems 33 (5) (2009) H. Elmiligi, A. A. Morgan, M. W. El-Kharashi, F. Gebali, Networks-on-chip topology optimization subject to power, delay, and reliability constraints, in: Proceedings of 2010 IEEE International Symposium on Circuits and Systems (ISCAS), 2010, pp doi: /iscas R. Holsmark, S. Kumar, Design issues and performance evaluation of mesh NoC with regions, in: 23rd NORCHIP Conference, 2005, pp doi: /norchp J. L. Gross, J. Yellen, Graph theory and its applications, 2nd Edition, CRC Press, Boca Raton, FL, USA, M. T. Goodrich, R. Tamassia, Algorithm Design: Foundations, Analysis, and Internet Examples, John Wiley and Sons, M. Barbehenn, A note on the complexity of Dijkstra s algorithm for graphs with weighted vertices, IEEE Transactions on Computers 47 (2) (1998) 263. doi: / P. Zhou, P.-H. Yuh, S. S. Sapatnekar, Application-specific 3d network-on-chip design using simulated allocation, in: Proceedings of the 2010 Asia and South Pacific Design Automation Conference, ASPDAC 10, IEEE Press, Piscataway, NJ, USA, 2010, pp URL S. Bhat, Energy models for networks-on-chip components, Master s thesis, Technische University Eindhoven, Eindhoven, Netherlands (Dec. 2005). 17. C. Jueping, J. Peng, Y. Lei, H. Yue, L. Zan, Through-silicon via (TSV) capacitance modeling for 3D NoC energy consumption estimation, in: th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), 2010, pp doi: /icsict H. Elmiligi, M. W. El-Kharashi, F. Gebali, Power consumption of 3D networks-on-chips: Modeling and optimization, Microprocessors and Microsystems 37 (67) (2013) D. Lee, Proof of a modified Dijkstra s algorithm for computing shortest bundle delay in networks with deterministically time-varying links, IEEE Communications Letters 10 (10) (2006) doi: /lcomm

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Available online at ScienceDirect. Procedia Computer Science 37 (2014 )

Available online at   ScienceDirect. Procedia Computer Science 37 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 37 (2014 ) 176 180 The 5th International Conference on Emerging Ubiquitous Systems and Pervasive Networks (EUSPN-2014)

More information

Available online at ScienceDirect. Procedia Computer Science 89 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 89 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 89 (2016 ) 180 186 Twelfth International Multi-Conference on Information Processing-2016 (IMCIP-2016) A Perspective on

More information

On-Chip Communications

On-Chip Communications On-Chip Communications Somayyeh Koohi Department of Computer Engineering Sharif University of Technology 1 Introduction Adapted with modifications from lecture notes prepared by S.Pasricha and N.Dutt Outline

More information

ScienceDirect. Packet-based Adaptive Virtual Channel Configuration for NoC Systems

ScienceDirect. Packet-based Adaptive Virtual Channel Configuration for NoC Systems Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 34 (2014 ) 552 558 2014 International Workshop on the Design and Performance of Network on Chip (DPNoC 2014) Packet-based

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

Available online at ScienceDirect. Procedia Computer Science 56 (2015 )

Available online at  ScienceDirect. Procedia Computer Science 56 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 56 (2015 ) 266 270 The 10th International Conference on Future Networks and Communications (FNC 2015) A Context-based Future

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Application Mapping onto Binary Tree Structured Network-on-Chip using Particle Swarm Optimization

Application Mapping onto Binary Tree Structured Network-on-Chip using Particle Swarm Optimization Application Mapping onto Binary Tree Structured Network-on-Chip using Particle Swarm Optimization Sunil Raju Gollapalli Department of Electronics & Communications Engg, AM Reddy Memorial College of Engineering

More information

Design and Verification of Five Port Router Network

Design and Verification of Five Port Router Network IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 13, Issue 2, Ver. II (Mar. - Apr. 2018), PP 89-96 www.iosrjournals.org Design and Verification

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

ScienceDirect. Plan Restructuring in Multi Agent Planning

ScienceDirect. Plan Restructuring in Multi Agent Planning Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 396 401 International Conference on Information and Communication Technologies (ICICT 2014) Plan Restructuring

More information

Available online at ScienceDirect. Procedia Computer Science 34 (2014 )

Available online at   ScienceDirect. Procedia Computer Science 34 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 34 (2014 ) 680 685 International Workshop on Software Defined Networks for a New Generation of Applications and Services

More information

A Partition Method for Graph Isomorphism

A Partition Method for Graph Isomorphism Available online at www.sciencedirect.com Physics Procedia ( ) 6 68 International Conference on Solid State Devices and Materials Science A Partition Method for Graph Isomorphism Lijun Tian, Chaoqun Liu

More information

Performance Analysis of Adaptive Beamforming Algorithms for Smart Antennas

Performance Analysis of Adaptive Beamforming Algorithms for Smart Antennas Available online at www.sciencedirect.com ScienceDirect IERI Procedia 1 (214 ) 131 137 214 International Conference on Future Information Engineering Performance Analysis of Adaptive Beamforming Algorithms

More information

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE

OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE OVERVIEW: NETWORK ON CHIP 3D ARCHITECTURE 1 SOMASHEKHAR, 2 REKHA S 1 M. Tech Student (VLSI Design & Embedded System), Department of Electronics & Communication Engineering, AIET, Gulbarga, Karnataka, INDIA

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

Design of an Efficient Communication Protocol for 3d Interconnection Network

Design of an Efficient Communication Protocol for 3d Interconnection Network Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of an Efficient

More information

Hardware Design and Software Simulation for Four Classical Cryptosystems

Hardware Design and Software Simulation for Four Classical Cryptosystems Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 21 (2013 ) 500 505 The 4 th International Conference on Emerging Ubiquitous Systems and Pervasive Networks (EUSPN-2013)

More information

Network Routing Protocol using Genetic Algorithms

Network Routing Protocol using Genetic Algorithms International Journal of Electrical & Computer Sciences IJECS-IJENS Vol:0 No:02 40 Network Routing Protocol using Genetic Algorithms Gihan Nagib and Wahied G. Ali Abstract This paper aims to develop a

More information

Available online at ScienceDirect

Available online at   ScienceDirect Available online at www.sciencedirect.com ScienceDirect Procedia Technology 0 ( 0 ) 900 909 International Conference on Computational Intelligence: Modeling, Techniques and Applications (CIMTA-0) Multicast

More information

Available online at ScienceDirect. Procedia Computer Science 20 (2013 )

Available online at  ScienceDirect. Procedia Computer Science 20 (2013 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 20 (2013 ) 522 527 Complex Adaptive Systems, Publication 3 Cihan H. Dagli, Editor in Chief Conference Organized by Missouri

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Exploring Optimal Topology and Routing Algorithm for 3D Network on Chip

Exploring Optimal Topology and Routing Algorithm for 3D Network on Chip American Journal of Applied Sciences 9 (3): 300-308, 2012 ISSN 1546-9239 2012 Science Publications Exploring Optimal Topology and Routing Algorithm for 3D Network on Chip 1 Viswanathan, N., 2 K. Paramasivam

More information

Available online at ScienceDirect. Procedia Computer Science 93 (2016 )

Available online at   ScienceDirect. Procedia Computer Science 93 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 93 (2016 ) 269 275 6th International Conference On Advances In Computing & Communications, ICACC 2016, 6-8 September 2016,

More information

Available online at ScienceDirect. Procedia Engineering 161 (2016 ) Bohdan Stawiski a, *, Tomasz Kania a

Available online at   ScienceDirect. Procedia Engineering 161 (2016 ) Bohdan Stawiski a, *, Tomasz Kania a Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 161 (16 ) 937 943 World Multidisciplinary Civil Engineering-Architecture-Urban Planning Symposium 16, WMCAUS 16 Testing Quality

More information

Available online at ScienceDirect. Procedia Computer Science 70 (2015 )

Available online at   ScienceDirect. Procedia Computer Science 70 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 70 (2015 ) 491 498 4 th International Conference on Eco-friendly Computing and Communication Systems Recovery of Lost Connectivity

More information

Available online at ScienceDirect. Procedia Computer Science 34 (2014 ) Generic Connector for Mobile Devices

Available online at  ScienceDirect. Procedia Computer Science 34 (2014 ) Generic  Connector for Mobile Devices Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 34 (2014 ) 613 618 International Symposium on Emerging Inter-networks, Communication and Mobility (EICM-2014) Generic Email

More information

Network-on-chip (NOC) Topologies

Network-on-chip (NOC) Topologies Network-on-chip (NOC) Topologies 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and performance

More information

Temperature and Traffic Information Sharing Network in 3D NoC

Temperature and Traffic Information Sharing Network in 3D NoC , October 2-23, 205, San Francisco, USA Temperature and Traffic Information Sharing Network in 3D NoC Mingxing Li, Ning Wu, Gaizhen Yan and Lei Zhou Abstract Monitoring Network on Chip (NoC) status, such

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

Available online at ScienceDirect. Procedia Computer Science 98 (2016 )

Available online at   ScienceDirect. Procedia Computer Science 98 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 98 (2016 ) 515 521 The 3rd International Symposium on Emerging Information, Communication and Networks (EICN 2016) A Speculative

More information

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology http://dx.doi.org/10.5573/jsts.014.14.6.760 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 014 A 56-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Sung-Joon Lee

More information

Three-Dimensional Reconstruction from Projections Based On Incidence Matrices of Patterns

Three-Dimensional Reconstruction from Projections Based On Incidence Matrices of Patterns Available online at www.sciencedirect.com ScienceDirect AASRI Procedia 9 (2014 ) 72 77 2014 AASRI Conference on Circuit and Signal Processing (CSP 2014) Three-Dimensional Reconstruction from Projections

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

ScienceDirect. Analytical formulation of the trip travel time distribution

ScienceDirect. Analytical formulation of the trip travel time distribution Available online at www.sciencedirect.com ScienceDirect Transportation Research Procedia ( ) 7 7th Meeting of the EURO Working Group on Transportation, EWGT, - July, Sevilla, Spain Analytical formulation

More information

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN

International Journal of Research and Innovation in Applied Science (IJRIAS) Volume I, Issue IX, December 2016 ISSN Comparative Analysis of Latency, Throughput and Network Power for West First, North Last and West First North Last Routing For 2D 4 X 4 Mesh Topology NoC Architecture Bhupendra Kumar Soni 1, Dr. Girish

More information

Available online at ScienceDirect. Procedia Computer Science 57 (2015 )

Available online at   ScienceDirect. Procedia Computer Science 57 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 57 (2015 ) 890 897 2015 International Conference on Recent Trends in Computing (ICRTC 2015) Performance Analysis of Efficient

More information

Advanced Parallel Architecture. Annalisa Massini /2017

Advanced Parallel Architecture. Annalisa Massini /2017 Advanced Parallel Architecture Annalisa Massini - 2016/2017 References Advanced Computer Architecture and Parallel Processing H. El-Rewini, M. Abd-El-Barr, John Wiley and Sons, 2005 Parallel computing

More information

High Throughput and Low Power NoC

High Throughput and Low Power NoC IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 5, o 3, September 011 www.ijcsi.org 431 High Throughput and Low Power oc Magdy El-Moursy 1, Member IEEE and Mohamed Abdelgany 1 Mentor

More information

Media Access Delay and Throughput Analysis of Voice Codec with Silence Suppression on Wireless Ad hoc Network

Media Access Delay and Throughput Analysis of Voice Codec with Silence Suppression on Wireless Ad hoc Network Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 79 (2016 ) 940 947 7th International Conference on Communication, Computing and Virtualization 2016 Media Access Delay

More information

Available online at ScienceDirect. Procedia Computer Science 46 (2015 )

Available online at   ScienceDirect. Procedia Computer Science 46 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 46 (2015 ) 1209 1215 International Conference on Information and Communication Technologies (ICICT 2014) Improving the

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Performance Analysis of GA and PSO over Economic Load Dispatch Problem Sakshi Rajpoot sakshirajpoot1988@gmail.com Dr. Sandeep Bhongade sandeepbhongade@rediffmail.com Abstract Economic Load dispatch problem

More information

ScienceDirect. Extending Lifetime of Wireless Sensor Networks by Management of Spare Nodes

ScienceDirect. Extending Lifetime of Wireless Sensor Networks by Management of Spare Nodes Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 34 (2014 ) 493 498 The 2nd International Workshop on Communications and Sensor Networks (ComSense-2014) Extending Lifetime

More information

ISSN: [Keswani* et al., 7(1): January, 2018] Impact Factor: 4.116

ISSN: [Keswani* et al., 7(1): January, 2018] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY AUTOMATIC TEST CASE GENERATION FOR PERFORMANCE ENHANCEMENT OF SOFTWARE THROUGH GENETIC ALGORITHM AND RANDOM TESTING Bright Keswani,

More information

MPSoC Architecture-Aware Automatic NoC Topology Design

MPSoC Architecture-Aware Automatic NoC Topology Design MPSoC Architecture-Aware Automatic NoC Topology Design Rachid Dafali and Jean-Philippe Diguet European University of Brittany - UBS/CNRS/Lab-STICC dept. BP 92116, F-56321 Lorient Cedex, FRANCE rachid.dafali@univ-ubs.fr

More information

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y.

Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y. Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on FPGA. Singh, A.K.; Kumar, A.; Srikanthan, Th.; Ha, Y. Published in: Proceedings of the 2010 International Conference on Field-programmable

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information

Bandwidth Aware Routing Algorithms for Networks-on-Chip

Bandwidth Aware Routing Algorithms for Networks-on-Chip 1 Bandwidth Aware Routing Algorithms for Networks-on-Chip G. Longo a, S. Signorino a, M. Palesi a,, R. Holsmark b, S. Kumar b, and V. Catania a a Department of Computer Science and Telecommunications Engineering

More information

Hypergraph Grammars in hp-adaptive Finite Element Method

Hypergraph Grammars in hp-adaptive Finite Element Method Available online at www.sciencedirect.com Procedia Computer Science 18 (2013 ) 1545 1554 2013 International Conference on Computational Science Hypergraph Grammars in hp-adaptive Finite Element Method

More information

ScienceDirect. Evaluating the Energy Overhead Generated by Interferences within the 2.4 GHz Band for a Hybrid RFID Network

ScienceDirect. Evaluating the Energy Overhead Generated by Interferences within the 2.4 GHz Band for a Hybrid RFID Network Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 69 ( 2014 ) 210 215 24th DAAAM International Symposium on Intelligent Manufacturing and Automation, 2013 Evaluating the Energy

More information

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Usman Mazhar Mirza Master of Science Thesis 2011 ELECTRONICS Postadress: Besöksadress: Telefon: Box 1026

More information

Linköping University Post Print. epuma: a novel embedded parallel DSP platform for predictable computing

Linköping University Post Print. epuma: a novel embedded parallel DSP platform for predictable computing Linköping University Post Print epuma: a novel embedded parallel DSP platform for predictable computing Jian Wang, Joar Sohl, Olof Kraigher and Dake Liu N.B.: When citing this work, cite the original article.

More information

Available online at ScienceDirect. Procedia Computer Science 87 (2016 )

Available online at   ScienceDirect. Procedia Computer Science 87 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 87 (2016 ) 270 274 4th International Conference on Recent Trends in Computer Science & Engineering Analysis of Routing

More information

A Literature Review of on-chip Network Design using an Agent-based Management Method

A Literature Review of on-chip Network Design using an Agent-based Management Method A Literature Review of on-chip Network Design using an Agent-based Management Method Mr. Kendaganna Swamy S Dr. Anand Jatti Dr. Uma B V Instrumentation Instrumentation Communication Bangalore, India Bangalore,

More information

Performance- and Cost-Aware Topology Generation Based on Clustering for Application-Specific Network on Chip

Performance- and Cost-Aware Topology Generation Based on Clustering for Application-Specific Network on Chip Performance- and Cost-Aware Topology Generation Based on Clustering for Application-Specific Network on Chip Fen Ge, Ning Wu, Xiaolin Qin and Ying Zhang Abstract A clustering-based topology generation

More information

Available online at ScienceDirect. Procedia Computer Science 89 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 89 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 89 (2016 ) 162 169 Twelfth International Multi-Conference on Information Processing-2016 (IMCIP-2016) A Distributed Minimum

More information

Deadlock-free XY-YX router for on-chip interconnection network

Deadlock-free XY-YX router for on-chip interconnection network LETTER IEICE Electronics Express, Vol.10, No.20, 1 5 Deadlock-free XY-YX router for on-chip interconnection network Yeong Seob Jeong and Seung Eun Lee a) Dept of Electronic Engineering Seoul National Univ

More information

Cross Clock-Domain TDM Virtual Circuits for Networks on Chips

Cross Clock-Domain TDM Virtual Circuits for Networks on Chips Cross Clock-Domain TDM Virtual Circuits for Networks on Chips Zhonghai Lu Dept. of Electronic Systems School for Information and Communication Technology KTH - Royal Institute of Technology, Stockholm

More information

Introduction to System-on-Chip

Introduction to System-on-Chip Introduction to System-on-Chip COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

Available online at ScienceDirect. Procedia Computer Science 59 (2015 )

Available online at   ScienceDirect. Procedia Computer Science 59 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 59 (2015 ) 419 426 International Conference on Computer Science and Computational Intelligence (ICCSCI 2015) Degree Centrality

More information

An Energy Efficient Topology Augmentation Methodology Using Hash Based Smart Shortcut Links in 2-D Mesh

An Energy Efficient Topology Augmentation Methodology Using Hash Based Smart Shortcut Links in 2-D Mesh International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 10 (October 2016), PP.12-23 An Energy Efficient Topology Augmentation

More information

Networks-on-Chips: Theory and Practice. Editors: Fayez Gebali and Haytham Elmiligi

Networks-on-Chips: Theory and Practice. Editors: Fayez Gebali and Haytham Elmiligi Networks-on-Chips: Theory and Practice Editors: Fayez Gebali and Haytham Elmiligi ii Contents 1 Three-Dimensional Network-on-Chip Architectures 1 1.1 Introduction.................................... 1

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

Multi-Voltage Domain Clock Mesh Design

Multi-Voltage Domain Clock Mesh Design Multi-Voltage Domain Clock Mesh Design Can Sitik Electrical and Computer Engineering Drexel University Philadelphia, PA, 19104 USA E-mail: as3577@drexel.edu Baris Taskin Electrical and Computer Engineering

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE

PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE PERFORMANCE EVALUATION OF FAULT TOLERANT METHODOLOGIES FOR NETWORK ON CHIP ARCHITECTURE By HAIBO ZHU A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN

More information

Traffic balancing-based path recommendation mechanisms in vehicular networks Maram Bani Younes *, Azzedine Boukerche and Graciela Román-Alonso

Traffic balancing-based path recommendation mechanisms in vehicular networks Maram Bani Younes *, Azzedine Boukerche and Graciela Román-Alonso WIRELESS COMMUNICATIONS AND MOBILE COMPUTING Wirel. Commun. Mob. Comput. 2016; 16:794 809 Published online 29 January 2015 in Wiley Online Library (wileyonlinelibrary.com)..2570 RESEARCH ARTICLE Traffic

More information

Two Multicasting Schemes for Irregular 3D Mesh-based Bufferless NoCs

Two Multicasting Schemes for Irregular 3D Mesh-based Bufferless NoCs MATEC Web of Conferences 22, 01028 ( 2015) DOI: 10.1051/ matecconf/ 20152201028 C Owned by the authors, published by EDP Sciences, 2015 Two Multicasting Schemes for Irregular 3D Mesh-based Bufferless NoCs

More information

A Toolbox for Teaching Image Fusion in Matlab

A Toolbox for Teaching Image Fusion in Matlab Available online at www.sciencedirect.com ScienceDirect Procedia - Social and Behavioral Sciences 197 ( 2015 ) 525 530 7th World Conference on Educational Sciences, (WCES-2015), 05-07 February 2015, Novotel

More information

HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip

HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip Rickard Holsmark 1, Maurizio Palesi 2, Shashi Kumar 1 and Andres Mejia 3 1 Jönköping University, Sweden 2 University of Catania,

More information

Mapping of Real-time Applications on

Mapping of Real-time Applications on Mapping of Real-time Applications on Network-on-Chip based MPSOCS Paris Mesidis Submitted for the degree of Master of Science (By Research) The University of York, December 2011 Abstract Mapping of real

More information

Clustering-Based Topology Generation Approach for Application-Specific Network on Chip

Clustering-Based Topology Generation Approach for Application-Specific Network on Chip Proceedings of the World Congress on Engineering and Computer Science Vol II WCECS, October 9-,, San Francisco, USA Clustering-Based Topology Generation Approach for Application-Specific Network on Chip

More information

Available online at ScienceDirect. Procedia Computer Science 96 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 96 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 96 (2016 ) 946 950 20th International Conference on Knowledge Based and Intelligent Information and Engineering Systems

More information

Optical Communications and Networking 朱祖勍. Nov. 27, 2017

Optical Communications and Networking 朱祖勍. Nov. 27, 2017 Optical Communications and Networking Nov. 27, 2017 1 What is a Core Network? A core network is the central part of a telecommunication network that provides services to customers who are connected by

More information

Mapping and Physical Planning of Networks-on-Chip Architectures with Quality-of-Service Guarantees

Mapping and Physical Planning of Networks-on-Chip Architectures with Quality-of-Service Guarantees Mapping and Physical Planning of Networks-on-Chip Architectures with Quality-of-Service Guarantees Srinivasan Murali 1, Prof. Luca Benini 2, Prof. Giovanni De Micheli 1 1 Computer Systems Lab, Stanford

More information

A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors

A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors Murali Jayapala 1, Francisco Barat 1, Pieter Op de Beeck 1, Francky Catthoor 2, Geert Deconinck 1 and Henk Corporaal

More information

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution

Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Dynamic Stress Wormhole Routing for Spidergon NoC with effective fault tolerance and load distribution Nishant Satya Lakshmikanth sailtosatya@gmail.com Krishna Kumaar N.I. nikrishnaa@gmail.com Sudha S

More information

A SOCIAL NETWORK ANALYSIS APPROACH TO ANALYZE ROAD NETWORKS INTRODUCTION

A SOCIAL NETWORK ANALYSIS APPROACH TO ANALYZE ROAD NETWORKS INTRODUCTION A SOCIAL NETWORK ANALYSIS APPROACH TO ANALYZE ROAD NETWORKS Kyoungjin Park Alper Yilmaz Photogrammetric and Computer Vision Lab Ohio State University park.764@osu.edu yilmaz.15@osu.edu ABSTRACT Depending

More information

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h) HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847)

More information

Network-on-Chip Application Mapping based on Domain Knowledge Genetic Algorithm

Network-on-Chip Application Mapping based on Domain Knowledge Genetic Algorithm Network-on-Chip Application Mapping based on Domain Knowledge Genetic Algorithm Yin Zhen Tei, N. Shaikh-Husin, Yuan Wen Hau, Eko Supriyanto, M. N. Marsono Department of Electronic and Computer Engineering,

More information

Lecture 2: Topology - I

Lecture 2: Topology - I ECE 8823 A / CS 8803 - ICN Interconnection Networks Spring 2017 http://tusharkrishna.ece.gatech.edu/teaching/icn_s17/ Lecture 2: Topology - I Tushar Krishna Assistant Professor School of Electrical and

More information

Available online at ScienceDirect. Procedia Computer Science 92 (2016 )

Available online at  ScienceDirect. Procedia Computer Science 92 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 92 (2016 ) 425 430 2nd International Conference on Intelligent Computing, Communication & Convergence (ICCC-2016) Srikanta

More information

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology

Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network Topology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.1, FEBRUARY, 2015 http://dx.doi.org/10.5573/jsts.2015.15.1.077 Design of Low-Power and Low-Latency 256-Radix Crossbar Switch Using Hyper-X Network

More information

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration

Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Improving Fault Tolerance of Network-on-Chip Links via Minimal Redundancy and Reconfiguration Hamed S. Kia, and Cristinel Ababei Department of Electrical and Computer Engineering North Dakota State University

More information

Fairness Example: high priority for nearby stations Optimality Efficiency overhead

Fairness Example: high priority for nearby stations Optimality Efficiency overhead Routing Requirements: Correctness Simplicity Robustness Under localized failures and overloads Stability React too slow or too fast Fairness Example: high priority for nearby stations Optimality Efficiency

More information

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks

Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks X. Yuan, R. Melhem and R. Gupta Department of Computer Science University of Pittsburgh Pittsburgh, PA 156 fxyuan,

More information

ScienceDirect. A Human-Machine Interface Evaluation Method Based on Balancing Principles

ScienceDirect. A Human-Machine Interface Evaluation Method Based on Balancing Principles Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 69 ( 2014 ) 13 19 24th DAAAM International Symposium on Intelligent Manufacturing and Automation, 2013 A Human-Machine Interface

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

Copyright 2007 Society of Photo-Optical Instrumentation Engineers. This paper was published in Proceedings of SPIE (Proc. SPIE Vol.

Copyright 2007 Society of Photo-Optical Instrumentation Engineers. This paper was published in Proceedings of SPIE (Proc. SPIE Vol. Copyright 2007 Society of Photo-Optical Instrumentation Engineers. This paper was published in Proceedings of SPIE (Proc. SPIE Vol. 6937, 69370N, DOI: http://dx.doi.org/10.1117/12.784572 ) and is made

More information

Available online at ScienceDirect. Procedia Computer Science 59 (2015 )

Available online at  ScienceDirect. Procedia Computer Science 59 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 59 (2015 ) 550 558 International Conference on Computer Science and Computational Intelligence (ICCSCI 2015) The Implementation

More information

On mapping to multi/manycores

On mapping to multi/manycores On mapping to multi/manycores Jeronimo Castrillon Chair for Compiler Construction (CCC) TU Dresden, Germany MULTIPROG HiPEAC Conference Stockholm, 24.01.2017 Mapping for dataflow programming models MEM

More information

Available online at ScienceDirect. Procedia Computer Science 56 (2015 )

Available online at  ScienceDirect. Procedia Computer Science 56 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 56 (2015 ) 612 617 International Workshop on the Use of Formal Methods in Future Communication Networks (UFMFCN 2015) A

More information

Available online at ScienceDirect. Procedia Computer Science 45 (2015 )

Available online at  ScienceDirect. Procedia Computer Science 45 (2015 ) Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 45 (2015 ) 205 214 International Conference on Advanced Computing Technologies and Applications (ICACTA- 2015) Automatic

More information

Text clustering based on a divide and merge strategy

Text clustering based on a divide and merge strategy Available online at www.sciencedirect.com ScienceDirect Procedia Computer Science 55 (2015 ) 825 832 Information Technology and Quantitative Management (ITQM 2015) Text clustering based on a divide and

More information

Procedia - Social and Behavioral Sciences 195 ( 2015 ) World Conference on Technology, Innovation and Entrepreneurship

Procedia - Social and Behavioral Sciences 195 ( 2015 ) World Conference on Technology, Innovation and Entrepreneurship Available online at www.sciencedirect.com ScienceDirect Procedia - Social and Behavioral Sciences 195 ( 2015 ) 1959 1965 World Conference on Technology, Innovation and Entrepreneurship Investigation of

More information

Dr. Yassine Hariri CMC Microsystems

Dr. Yassine Hariri CMC Microsystems Dr. Yassine Hariri Hariri@cmc.ca CMC Microsystems 03-26-2013 Agenda MCES Workshop Agenda and Topics Canada s National Design Network and CMC Microsystems Processor Eras: Background and History Single core

More information

Partitioning Methods for Multicast in Bufferless 3D Network on Chip

Partitioning Methods for Multicast in Bufferless 3D Network on Chip Partitioning Methods for Multicast in Bufferless 3D Network on Chip Chaoyun Yao 1(B), Chaochao Feng 1, Minxuan Zhang 1, Wei Guo 1, Shouzhong Zhu 1, and Shaojun Wei 2 1 College of Computer, National University

More information