Computer Architecture

Size: px
Start display at page:

Download "Computer Architecture"

Transcription

1 Computer Architecture PCI and PCI Express február 22. Budapest Gábor Horváth associate professor BUTE Dept. of Networked Systems and Services

2 2 The PCI standard PCI = Peripheral Component Interface, 1992, Intel Main features: CPU independent (PC, Macintosh, DEC, HP, SUN servers) Supports device auto-configuration Supports single and burst transmission modes 32 bit or 64 bit data units Synchronous bus clocked at 33 MHz (66 MHz from 1995) Maximal number of devices on a PCI bus: 32 Maximal number of PCI busses in a PCI system: 256 Implements energy management

3 3 PCI based systems PCI in Intel Pentium Pro:

4 4 PCI based systems PCI from Pentium 4 on: North bridge: Memory Controller Hub, MCH South bridge: I/O Controller Hub, ICH

5 5 Components of the PCI Main components Host/PCI bridge Translates I/O and memory requests of the CPU PCI transactions PCI devices Max. 32 devices/bus (max. 10 is more realistic) 1-8 functions/device (logical I/O devices on the same physical devide) PCI/PCI bridge Connects another PCI bus to the PCI bus Number of I/O devices in theory: 8 functions/device * 32 devices/bus * 256 buses/system = logical devices/system

6 6 Data transmission Each PCI device has max. 6 windows A window can be An address range in the memory address space An address range in the I/O address space When the CPU performs a read/write to a window of a PCI device it reads from/writes to the PCI device Devices can tell the system How many windows they need in the memory and in the I/O address space How large windows they need The windows are allocated to the devices by the BIOS and the op. system They tell the devices where their windows are PCI devices are listening on the bus, and respond to operations affecting their windows

7 7 Data transmission Example: CPU reads from the memory The address falls into a window of a PCI device The Host/PCI bridge Detects that the address is a PCI address On 32-bit PCs: addresses above 3 GB are considered to be PCI addresses Initiates a Memory read transaction on the PCI bus Obtains the right to use the bus (GNT) Puts the address and a memory read command to the PCI bus A device recognises that it falls into one of its windows Indicates it using the DEVSEL signal Data transmission starts Byte enable tells the device what byte order to use (and more) Data can be transmitted only when both the initiator is ready (IRDY) and the target device is ready (TRDY) The transmission ends when the initiator clears the FRAME signal The Host/PCI bridge returns the data obtained from the device to the CPU

8 Data transmission Computer Architecture Gábor Horváth, BME-HIT 8

9 9 Data transmission Flow control: The initiator is able to indicate that it is ready for the next data unit through line IRDY The target device is able to indicate that it is ready for the next data unit through line TRDY Transaction models: Programmed I/O. Initiated by: CPU, target: PCI device DMA. Initiated by: PCI device, target: system memory Peer-to-peer transfer. Initiated by: PCI device, target: PCI device

10 10 PCI commands C/BE Commands 0000 Interrupt Acknowledge 0010 I/O Read 0011 I/O Write 0110 Memory Read 0111 Memory Write 1010 Configuration Read 1011 Configuration Write

11 11 PCI commands C/BE Commands 0000 Interrupt Acknowledge 0010 I/O Read 0011 I/O Write 0110 Memory Read 0111 Memory Write 1010 Configuration Read 1011 Configuration Write

12 12 Arbitration Parallel arbitration: Hidden arbitration: The contention for the bus and the selection of the next winner occurs during the current transaction Algorithm: Must be fair Can take the delay sensitivity of some devices into account Example: A, B: delay sensitive, X, Y, Z not delay sensitive Order: A, B, X, A, B, Y, A, B, Z, A, B, X, A, B, Y, A, B, Z, etc.

13 13 Interrupts Two ways to generate interrupts By using one of the interrupt lines of the PCI bus By message signalled interrupts (MSI) By using the interrupt lines: Each PCI slot has four interrupt lines: INTA, INTB, INTC, INTD Can be shared or dedicated The mapping (which CPU interrupt it generates) can be read from the device by configuration transactions The interrupt signals of several PCI devices can be mapped onto the same CPU interrupt software polling is needed to find out which device generated the interrupt Message signalled interrupts: The PCI device writes a specific data to a specific address The Host/PCI bridge listens to that address, and generates a CPU interrupt when the specific data is written

14 14 Configuration Each device has 64 configuration registers, 32-bit each Configuration registers Can be read...or changed These operations are platform dependent Identifying a configuration register: On PC, special I/O addresses are used: 0CF8h: the above defined address of the configuration register 0CFCh: the content of the configuration register

15 Configuration Reading/writing a configuration register Host/PCI bridge detects an I/O operation at addresses 0CF8h and 0CFCh The register ID contains the device ID as well There is a dedicated signal IDSEL among each device and the Host/PCI bridge it sets the IDSEL of the selected device to 0 (1 for the others) Initiates a configuration read/write transaction only the selected device is listening! C/BE lines: code of the configuration read/write A/D lines: Type of configuration The number identifying the target function (logical device) Which register is of interest Transmitting the content of the configuration register: as done with ordinary data Computer Architecture Gábor Horváth, BME-HIT 15

16 16 Configuration Content of the registers: The first 16 has a fixed purpose: VendorID, DeviceID, Revision Class Code Interrupt Pin Interrupt Line Base Address Register During the boot process of the system: The devices are enumerated systematically The windows are allocated to devices Device drivers are loaded The device drivers configure the devices further using configuration transactions...

17 17 PCI interface Mandatory: Clock, Reset A/D[0...31] C/BE[0...3] FRAME IRDY, TRDY, STOP DEVSEL, IDSEL Parity, PERR, SERR REQ, GNT Optional: A/D[ ] C/BE[4...7] INTA, INTB, INTC, INTD REQ64, ACK64 CLKRUN 64 bit PCI slot 32 bit PCI slot

18 18 PCI Express Goals: To achieve higher transmission speed than PCI To keep full software compatibility with PCI Most signifficant changes: Serial data transmission To eliminate the problem of singal shift among parallel lines Point-to-point connections No shared medium no contention, no waiting, no starvation, no arbitration

19 Simple PCI Express based systems Computer Architecture Gábor Horváth, BME-HIT 19

20 20 Simple PCI Express based systems Serial differential data transmission: No clock signal (detected automatically from the 0/1 transitions) A pair of wires: 500 MB/s Full duplex communication: 2 serial pair of wires for both directions Two devices can be connected by more full duplex lines The name of 1 full duplex serial line: lane Part of the standard: 1x, 4x, 8x, 16x, 32x lanes More lanes allow parallel transmission of data But not in a synchronous way!!! With 32x lanes we have 32x500 MB/s = 16 GB/s

21 PCI Express based systems with switches Computer Architecture Gábor Horváth, BME-HIT 21

22 22 PCI Express based systems with switches Works like a packet switched network Devices are connected to the root complex through switches in a tree topology Passing through several switches can be necessary to reach the target device Transactions are travelling from switch to switch as packets

23 23 Transmission of transactions Transactions are processed by 3 layers before getting transmitted on the serial line:

24 Transmission of transactions Transaction layer: produces packets from transactions Header: Command, byte order (PCI: C/BE) Address (PCI: Address) Payload: Data to transmit CRC (for error detection) Computer Architecture Gábor Horváth, BME-HIT 24

25 Transmission of transactions Data Link Layer: error-free transmission of the transaction packet to the next hop An other header: sequence number (auto-incremented) An other CRC (protects the sequence number as well) Computer Architecture Gábor Horváth, BME-HIT 25

26 Transmission of transactions Physical Layer: transmission through the serial line Marks the boundaries of the packets by special bit sequences Ensures the presence of the necessary amount of 0/1 transitions: Scrambles the bits 10 bits are used to encode 8 bits Computer Architecture Gábor Horváth, BME-HIT 26

27 27 Transmission of transactions Packet format: Overhead when transmitting 32 bits: 1+2+3*4+1* = 28 byte

28 28 Transmission of transactions Receiver side, physical layer: Detects packet boundaries Decodes 8/10 bit encoding Decodes scrambling

29 29 Transmission of transactions Receiver side, Data Link Layer Checks CRC and the sequence number Sends back negative or positive acknowledgement depending on the checks In case of a negative acknowledgement the sender retransmits the packet

30 30 Transmission of transactions Receiver side, Transaction layer Checks CRC Executes transaction

31 31 Transmission of transactions Posted vs. Non-posted transactions: Posted: no reply is needed, eg. Write transactions Non-posted: reply needed, eg. Read transactions The transaction is only a read request The target device sends back the data requested in a separate transaction QoS (Quality of Service): the header has a traffic class field To indicate urgency of the transaction Urgent transactions can go before less urgent ones

32 32 Interrupts and configuration Interrupts Message signalled interrutps (MSI) just like in PCI Interrupt line based interrupts: PCI Express does not have interrupt lines It emulates them for compatibility: The device sends two packets to the root complex:» Packet 1: I have pulled my interrupt line down to 0» Packet 2: (a bit later): I have pulled it back to 1 The interrupt is then handled as in PCI Configuration: The number of registers a device can have is increased from 64 to 1024 First 64 can be read and written as in PCI Further registers: different addressing is needed, not detailed here

33 33 PCI Express slots PCIe x1 PCIe x16 PCI

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

PCI and PCI Express Bus Architecture

PCI and PCI Express Bus Architecture PCI and PCI Express Bus Architecture Computer Science & Engineering Department Arizona State University Tempe, AZ 85287 Dr. Yann-Hang Lee yhlee@asu.edu (480) 727-7507 7/23 Buses in PC-XT and PC-AT ISA

More information

Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express

Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express Lecture 2 Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express Bus (Sta06 Fig 3.16) For communication with and between devices Broadcast (yleislähetys): most common Everybody

More information

Typical System Implementation

Typical System Implementation PCI Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory Controller ISA Bus Bridge ISA Bus PCI Add-in Slots ISA Add-in Slots PCI 2 Desktop Platforms

More information

Older PC Implementations

Older PC Implementations PCI Older PC Implementations 2 Newer PC Implementations 3 Server Platforms 4 PCI Overview 5 PCI Features 32-Bit or 64-Bit address and data 66 or 33 down to 0 MHz synchronous operation Single or multiple

More information

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs Introducing QuickLogic s The Basics of PCI QuickPCI - The PCI Solution for System Needs Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory

More information

Lecture 2: Bus

Lecture 2: Bus Lecture 2 Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express Bus (Sta06 Fig 3.16) For communication with and between devices Broadcast (yleislähetys): most common Everybody

More information

Introduction to the PCI Interface. Meeta Srivastav

Introduction to the PCI Interface. Meeta Srivastav Introduction to the PCI Interface Meeta Srivastav 4 th March, 2005 Talk layout BUS standards PCI Local Bus PCI protocol Special Cases Electrical and Mechanical Specifications Other Topics 2 Inside a Computer

More information

Digital Logic Level. Buses PCI (..continued) PTE MIK MIT

Digital Logic Level. Buses PCI (..continued) PTE MIK MIT Digital Logic Level Buses PCI (..continued) varady.geza@mik.pte.hu PTE MIK MIT PCI - arbitration REQ# GNT# REQ# GNT# PCI arbiter REQ# GNT# The PCI bus has to be acquired before use by the devices PCI uses

More information

PCI Bus Quick Reference by Doug Abbott

PCI Bus Quick Reference by Doug Abbott PCI Bus Quick Reference by Doug Abbott This quick reference to the PCI Bus is based on the information contained in PCI BUS DEMYSTIFIED by Doug Abbott, published by LLH Technology Publishing. To order

More information

Errata History For PCI System Architecture, 4th Edition

Errata History For PCI System Architecture, 4th Edition Errata History For PCI System Architecture, 4th Edition Please note that the change history table below was started on 3/12/01. Changes made prior to that date are not reflected in the table but are contained

More information

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa Interconnection Structures Patrick Happ Raul Queiroz Feitosa Objective To present key issues that affect interconnection design. Interconnection Structures 2 Outline Introduction Computer Busses Bus Types

More information

PCI / PMC / CPCI / PCI-X Bus Analysis

PCI / PMC / CPCI / PCI-X Bus Analysis PCI / PMC / CPCI / PCI-X Bus Analysis Analyzer Exerciser Stimulus Target Anomaly Performance Compliance 850 System Analyzer/Exerciser Silicon Control Inc. introduces the ultimate analyzer and exerciser

More information

2. THE PCI EXPRESS BUS

2. THE PCI EXPRESS BUS 1 2. THE PCI EXPRESS BUS This laboratory work presents the serial variant of the PCI bus, referred to as PCI Express. After an overview of the PCI Express bus, details about its architecture are presented,

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP)

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) 128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) Features 128 Kb of dual-ported shared memory Master and target PCI Specification 2.2 compliant interface Embedded host bridge capability Direct interface

More information

PCI Compliance Checklist

PCI Compliance Checklist PCI Compliance Checklist Actel CorePCIF v2.02 January 2006 Device is: Reserved () Device Address: 0x80000000 Vendor ID: 0x11AA Device ID: 0x6004 Revision 2.2 PCI Compliance Checklist Rev. 2.2 1 Component

More information

Sensoray Model 623 PC/104+ to PCI Adapter. Revised December 19, Sensoray Model 623 Instruction Manual 1

Sensoray Model 623 PC/104+ to PCI Adapter. Revised December 19, Sensoray Model 623 Instruction Manual 1 Sensoray Model 623 PC/104+ to PCI Adapter Revised December 19, 2003 Sensoray Model 623 Instruction Manual 1 TABLE OF CONTENTS LIMITED WARRANTY... 3 SPECIAL HANDLING INSTRUCTIONS... 3 1. INTRODUCTION...

More information

PCI Bus Prototyping Card (2)

PCI Bus Prototyping Card (2) Bus Prototyping Card (2) developments from ISA to By B.Kluth and C.Kluth (B&C Kluth GbR) Ever since IBM-compatible PCs have been available, a new bus system has been introduced each time there was a major

More information

128K Bit Dual-Port SRAM with PCI Bus Controller

128K Bit Dual-Port SRAM with PCI Bus Controller 9449PV PRELIMINARY Features 128K bits of dual-ported shared memory Master and Target PCI Specification 2.2 compliant interface Embedded host bridge capability Direct interface to many microprocessors I

More information

Reference board User Guide for PI7C8152 By Glenn Sanders

Reference board User Guide for PI7C8152 By Glenn Sanders Reference board User Guide for PI7C8152 By Glenn Sanders Introduction The Pericom PI7C8152 PCI-to-PCI bridge evaluation board demonstrates the bridge and allows testing of key features either before or

More information

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a PCI-X Addendum to the PCI Compliance Checklist Revision 1.0a August 29, 2000 PCI-X Addendum to the PCI Compliance Checklist REVISION REVISION HISTORY DATE 1.0 Initial Release 3/1/00 1.0a Updates for PCI-X

More information

This page intentionally left blank

This page intentionally left blank This page intentionally left blank 216 THE DIGITAL LOGIC LEVEL CHAP. 3 and in 1995, 2.1 came out. 2.2 has features for mobile computers (mostly for saving battery power). The bus runs at up to 66 MHz and

More information

TPCE260. PCI Express PMC Carrier. Version 1.0. User Manual. Issue August 2014

TPCE260. PCI Express PMC Carrier. Version 1.0. User Manual. Issue August 2014 The Embedded I/O Company TPCE260 PCI Express PMC Carrier Version 1.0 User Manual Issue 1.0.1 August 2014 TEWS TECHNOLOGIES GmbH Am Bahnhof 7 25469 Halstenbek, Germany Phone: +49 (0) 4101 4058 0 Fax: +49

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections. 7/24/00

More information

Architecture Specification

Architecture Specification PCI-to-PCI Bridge Architecture Specification, Revision 1.2 June 9, 2003 PCI-to-PCI Bridge Architecture Specification Revision 1.1 December 18, 1998 Revision History REVISION ISSUE DATE COMMENTS 1.0 04/05/94

More information

Product Information SK1-CHORD. CompactPCI Serial PMC Module Carrier. Document No July 2017

Product Information SK1-CHORD. CompactPCI Serial PMC Module Carrier. Document No July 2017 Product Information SK1-CHORD CompactPCI Serial PMC Module Carrier Document No. 6911 26 July 2017 General The SK1-CHORD is a peripheral slot board for PICMG CompactPCI Serial systems and acts as carrier

More information

PCI Local Bus Specification Revision 3.0. June 2002JuneDecember 5February 3, , 2002

PCI Local Bus Specification Revision 3.0. June 2002JuneDecember 5February 3, , 2002 PCI Local Bus Specification Revision 3.0 June 2002JuneDecember 5February 3, 20043 28, 2002 REVISION REVISION HISTORY DATE 1.0 Original issue. 6/22/92 2.0 Incorporated connector and add-in card specification.

More information

Embedded Systems Programming

Embedded Systems Programming Embedded Systems Programming x86 System Architecture and PCI Bus (Module 9) Yann-Hang Lee Arizona State University yhlee@asu.edu (480) 727-7507 Summer 2014 Interrupt in 8086 Two pins: NMI and INTR Interrupt

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 29, 2002July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections.

More information

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017)

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) PCI Host Controller 14a Hardware Reference 1 PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the PCI bus according to the PCI/104 specification.

More information

System Buses Ch 3. Computer Function Interconnection Structures Bus Interconnection PCI Bus. 9/4/2002 Copyright Teemu Kerola 2002

System Buses Ch 3. Computer Function Interconnection Structures Bus Interconnection PCI Bus. 9/4/2002 Copyright Teemu Kerola 2002 System Buses Ch 3 Computer Function Interconnection Structures Bus Interconnection PCI Bus 1 Computer Function von Neumann architecture memory contains both instruction and data Fetch-Execute Cycle CPU

More information

cpci-pci Adapter Technical Reference Manual cpci-pci Adapter Reference Manual Version 1.0

cpci-pci Adapter Technical Reference Manual cpci-pci Adapter Reference Manual Version 1.0 cpci-pci Adapter Reference Manual Version 1.0 The cpci-pci Adapter has been designed by: N.A.T. GmbH Kamillenweg 22 D-53757 Sankt Augustin Phone: ++49/2241/3989-0 Fax: ++49/2241/3989-10 E-Mail: sales@nateurope.com

More information

PCI Local Bus Specification

PCI Local Bus Specification PCI Local Bus Specification Revision 2.2 December 18, 1998 REVISION REVISION HISTORY DATE 1.0 Original issue 6/22/92 2.0 Incorporated connector and expansion board specification 4/30/93 2.1 Incorporated

More information

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P Errata and Clarifications to the PCI-X Addendum, Revision 1.0a Update 3/12/01 Rev P REVISION REVISION HISTORY DATE P E1a-E6a, C1a-C12a 3/12/01 2 Table of Contents Table of Contents...3 Errata to PCI-X

More information

DYNAMIC ENGINEERING 150 DuBois St. Suite C Santa Cruz CA Fax Est.

DYNAMIC ENGINEERING 150 DuBois St. Suite C Santa Cruz CA Fax Est. DYNAMIC ENGINEERING 150 DuBois St. Suite C Santa Cruz CA 95060 831-457-8891 Fax 831-457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual cpcirepeat32 cpci 6U 4HP PMC Carrier With PCI Bus

More information

Input/Output Introduction

Input/Output Introduction Input/Output 1 Introduction Motivation Performance metrics Processor interface issues Buses 2 Page 1 Motivation CPU Performance: 60% per year I/O system performance limited by mechanical delays (e.g.,

More information

Introduction. Motivation Performance metrics Processor interface issues Buses

Introduction. Motivation Performance metrics Processor interface issues Buses Input/Output 1 Introduction Motivation Performance metrics Processor interface issues Buses 2 Motivation CPU Performance: 60% per year I/O system performance limited by mechanical delays (e.g., disk I/O)

More information

Intel IXP42X Product Line of Network Processors and IXC1100 Control Plane Processor PCI 16-Bit Read Implementation

Intel IXP42X Product Line of Network Processors and IXC1100 Control Plane Processor PCI 16-Bit Read Implementation Intel IXP42X Product Line of Network Processors and IXC1100 Control Plane Processor PCI 16-Bit Read Implementation Application Note September 2004 Document Number: 300375-002 INFORMATION IN THIS DOCUMENT

More information

IQEXTENDER IQ Module. Board Manual. March Order Number:

IQEXTENDER IQ Module. Board Manual. March Order Number: IQEXTENDER IQ Module Board Manual March 1998 Order Number: 272942-002 Information in this document is provided in connection with Intel products. No license, express or implied, by estoppel or otherwise,

More information

ACROMAG INCORPORATED Tel: (248) South Wixom Road Fax: (248) P.O. BOX 437 Wixom, MI U.S.A.

ACROMAG INCORPORATED Tel: (248) South Wixom Road Fax: (248) P.O. BOX 437 Wixom, MI U.S.A. APC-PMC USER S MANUAL ACROMAG INCORPORATED Tel: (248) 295-0310 30765 South Wixom Road Fax: (248) 624-9234 P.O. BOX 437 Wixom, MI 48393-7037 U.S.A. Copyright 2005, Acromag, Inc., Printed in the USA. Data

More information

Embedded Systems Programming

Embedded Systems Programming Embedded Systems Programming PCI Configuration (Module 10) Yann-Hang Lee Arizona State University yhlee@asu.edu (480) 727-7507 Summer 2014 PCI Address Space A PCI target can implement up to three different

More information

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University EE108B Lecture 17 I/O Buses and Interfacing to CPU Christos Kozyrakis Stanford University http://eeclass.stanford.edu/ee108b 1 Announcements Remaining deliverables PA2.2. today HW4 on 3/13 Lab4 on 3/19

More information

Lecture #9-10: Communication Methods

Lecture #9-10: Communication Methods Lecture #9-10: Communication Methods Kunle EE183 February 10, 2003 Lab Issues Lab 2 Writeup is due tonight at Midnight Lab 3 Questions? The starter is available on the web ASM183 Modelsim Verilog simulation

More information

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 5 Input/Output Organization Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Accessing I/O Devices Interrupts Direct Memory Access Buses Interface

More information

The PCI 9054 has Direct Master, DMA and Direct Slave Hitachi SH bit RISC Processor

The PCI 9054 has Direct Master, DMA and Direct Slave Hitachi SH bit RISC Processor PCI 9054/SH7709 AN July 2, 1999 SH7709 to PCI 9054 Version 1.0 Application Note Features General Description PLX Technology PCI 9054, PCI v2.2 compliant 32 bit, 33MHz PCI bus Master I/O Accelerator with

More information

#64. Quick start: (photo of 8154 reference board) The numbers on the photo correspond to the text explanation on the right:

#64. Quick start: (photo of 8154 reference board) The numbers on the photo correspond to the text explanation on the right: User Guide for PI7C8154 Reference Board By Glenn Sanders Introduction: The Pericom PI7C8154 PCI to PCI bridge evaluation board demonstrates the bridge and allows testing of key features either before or

More information

User Guide for the Pericom PI7C8150 Reference board By Glenn Sanders

User Guide for the Pericom PI7C8150 Reference board By Glenn Sanders 2789027890278902278902789027890227890278902789022789027890278902278902 Introduction The Pericom PI7C80 PCI-to-PCI bridge evaluation board demonstrates the bridge and allows testing of key features either

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0b

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0b PCI-X Addendum to the PCI Compliance Checklist Revision 1.0b April 16, 2003 PCI-X 1.0b Addendum to the PCI Compliance Checklist REVISION REVISION HISTORY DATE 1.0 Initial Release 3/1/00 1.0a Updates for

More information

cpci-8273 Advance Technologies; Automate the World. 3 Port IEEE 1394a 3U CompactPCI Card User s Manual Manual Rev. 2.00

cpci-8273 Advance Technologies; Automate the World. 3 Port IEEE 1394a 3U CompactPCI Card User s Manual Manual Rev. 2.00 cpci-8273 3 Port IEEE 1394a 3U CompactPCI Card User s Manual Manual Rev. 2.00 Revision Date: November 18, 2004 Part No: 50-15036-100 Advance Technologies; Automate the World. Copyright 2004 ADLINK TECHNOLOGY

More information

The D igital Digital Logic Level Chapter 3 1

The D igital Digital Logic Level Chapter 3 1 The Digital Logic Level Chapter 3 1 Gates and Boolean Algebra (1) (a) A transistor inverter. (b) A NAND gate. (c) A NOR gate. 2 Gates and Boolean Algebra (2) The symbols and functional behavior for the

More information

AMD HD5450 PCI ADD-IN BOARD. Datasheet. Advantech model number:gfx-a3t5-61fst1

AMD HD5450 PCI ADD-IN BOARD. Datasheet. Advantech model number:gfx-a3t5-61fst1 AMD HD5450 PCI ADD-IN BOARD Datasheet Advantech model number:gfx-a3t5-61fst1 CONTENTS 1. Feature... 3 2. Functional Overview... 4 2.1. Memory Interface... 4 2.2. Acceleration Features... 4 2.3. Avivo Display

More information

PCE-5B12(7B13)-07 Backplane: 12(13)-slot BP for 14-Slot Chassis, 1 PICMIG 1.3, 4(5) PCIe, 7 32-bit/33-MHz PCI Startup Manual

PCE-5B12(7B13)-07 Backplane: 12(13)-slot BP for 14-Slot Chassis, 1 PICMIG 1.3, 4(5) PCIe, 7 32-bit/33-MHz PCI Startup Manual PCE-5B12(7B13)-07 Backplane: 12(13)-slot BP for 14-Slot Chassis, 1 PICMIG 1.3, 4(5) PCIe, 7 32-bit/33-MHz PCI Startup Manual Packing List Specifications Before you begin installing your card, please make

More information

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum)

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) I/O Fall 2011 Tore Larsen Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) Big Picture Today we talk about I/O characteristics interconnection devices & controllers (disks

More information

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum)

I/O. Fall Tore Larsen. Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) I/O Fall 2010 Tore Larsen Including slides from Pål Halvorsen, Tore Larsen, Kai Li, and Andrew S. Tanenbaum) Big Picture Today we talk about I/O characteristics interconnection devices & controllers (disks

More information

IT and Instrumentation for industry. CE5-CADENZA CompactPCI Hostadapter Quad Serial ATA Controller & 2.5-Inch Hard Disk Drive

IT and Instrumentation for industry. CE5-CADENZA CompactPCI Hostadapter Quad Serial ATA Controller & 2.5-Inch Hard Disk Drive CE5-CADENZA CompactPCI Hostadapter Quad Serial ATA Controller & 2.5-Inch Hard Disk Drive The CE5-CADENZA from EKF is a quad port Serial ATA hostadapter, compliant with.0 specification. The CompactPCI board

More information

55:131 Introduction to VLSI Design Project #3 -- Fall 2010 64-bit PCI Target with EDAC Due Date: Friday November 19, 2010 Introduction In this project we will modify the PCI Target from project 2 to change

More information

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals William Stallings Computer Organization and Architecture 7 th Edition Chapter 7 Input/Output Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In

More information

Accessing I/O Devices Interface to CPU and Memory Interface to one or more peripherals Generic Model of IO Module Interface for an IO Device: CPU checks I/O module device status I/O module returns status

More information

Chapter 8. A Typical collection of I/O devices. Interrupts. Processor. Cache. Memory I/O bus. I/O controller I/O I/O. Main memory.

Chapter 8. A Typical collection of I/O devices. Interrupts. Processor. Cache. Memory I/O bus. I/O controller I/O I/O. Main memory. Chapter 8 1 A Typical collection of I/O devices Interrupts Cache I/O bus Main memory I/O controller I/O controller I/O controller Disk Disk Graphics output Network 2 1 Interfacing s and Peripherals I/O

More information

PCI Local Bus Specification. Production Version

PCI Local Bus Specification. Production Version PCI Local Bus Specification Production Version Revision 2.1 June 1, 1995 REVISION REVISION HISTORY DATE 1.0 Original issue 6/22/92 2.0 Incorporated connector and expansion board specification 4/30/93 2.1

More information

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 July 14, 03 REVISION REVISION HISTORY DATE 1.0 Initial release 7/14/03 PCI-SIG disclaims all warranties and liability for the use of this document

More information

NcPCI-XLINK Technical Reference Manual. NcPCI-XLINK Technical Reference Manual V1.0 HW Revision 1.0

NcPCI-XLINK Technical Reference Manual. NcPCI-XLINK Technical Reference Manual V1.0 HW Revision 1.0 NcPCI-XLINK Technical Reference Manual V1.0 HW Revision 1.0 The NcPCI-XLINK has been designed by: N.A.T. GmbH Kamillenweg 22 53757 Sankt Augustin Germany Phone: +49 2241 3989-0 Fax: +49 2241 3989-10 E-Mail:

More information

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses PMC PCI-X v1108 Analyzer & Exerciser 66 MHz, 64 Bit Analyzer/Exerciser Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses 533 MBytes/Sec real-time continuous

More information

Input/Output Problems. External Devices. Input/Output Module. I/O Steps. I/O Module Function Computer Architecture

Input/Output Problems. External Devices. Input/Output Module. I/O Steps. I/O Module Function Computer Architecture 168 420 Computer Architecture Chapter 6 Input/Output Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In different formats All slower than CPU

More information

Computer Architectures

Computer Architectures Computer Architectures I/O subsystem Miroslav Šnorek, Pavel Píša, Michal Štepanovský Czech Technical University in Prague, Faculty of Electrical Engineering English version partially supported by: European

More information

PCI Bus Demystified. by Doug Abbott DEMYSTIFYING TECHNOLOGY SERIES. A VOLUME IN THE. Eagle Rock, Virginia

PCI Bus Demystified. by Doug Abbott DEMYSTIFYING TECHNOLOGY SERIES.  A VOLUME IN THE. Eagle Rock, Virginia PCI Bus Demystified by Doug Abbott A VOLUME IN THE DEMYSTIFYING TECHNOLOGY SERIES Eagle Rock, Virginia www.llh-publishing.com Copyright 2000 by LLH Technology Publishing All rights reserved. No part of

More information

OPERATIONS MANUAL PPM-USB2

OPERATIONS MANUAL PPM-USB2 OPERATIONS MANUAL PPM-USB2 WinSystems reserves the right to make changes in the circuitry and specifications at any time without notice. Copyright 2004 by WinSystems. All Rights Reserved. REVISION HISTORY

More information

Introduction to Embedded System I/O Architectures

Introduction to Embedded System I/O Architectures Introduction to Embedded System I/O Architectures 1 I/O terminology Synchronous / Iso-synchronous / Asynchronous Serial vs. Parallel Input/Output/Input-Output devices Full-duplex/ Half-duplex 2 Synchronous

More information

Intel Thunderbolt. James Coddington Ed Mackowiak

Intel Thunderbolt. James Coddington Ed Mackowiak Intel Thunderbolt James Coddington Ed Mackowiak Thunderbolt Basics PCI Express and DisplayPort through a single connection made available to external devices. Thunderbolt Basics Developed by Intel and

More information

PCI1031 PCI-TO-PC CARD16 CONTROLLER UNIT

PCI1031 PCI-TO-PC CARD16 CONTROLLER UNIT 3.3-V Core Logic With Universal PCI Interface Compatible and 3.3-V or 5-V PCI Signaling Environments Supports PCI Local Bus Specification 2.1 Mix-and-Match 5-V/3.3-V PC Card16 Cards Supports Two PC Card

More information

Agilent Technologies E2929B PCI-X Exerciser and Analyzer. Technical Overview. Key Specifications

Agilent Technologies E2929B PCI-X Exerciser and Analyzer. Technical Overview. Key Specifications Agilent Technologies E2929B PCI-X Exerciser and Analyzer Technical Overview Key Specifications O to 133.4 MHz clock speed 64 bit data and addressing Exerciser (option #300) with full capabilities, including

More information

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses CPCI PCI-X v1108 Analyzer & Exerciser 100 MHz PCI-X Analyzer/66MHz Exerciser 66 MHz, 64 Bit CPCI Analyzer/Exerciser 664 MHz Timing Analyzer Effective 10 GHz (100 Ps) setup & hold timing violations detector

More information

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub.

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub. es > 100 MB/sec Pentium 4 Processor L1 and L2 caches Some slides adapted from lecture by David Culler 3.2 GB/sec Display Memory Controller Hub RDRAM RDRAM Dual Ultra ATA/100 24 Mbit/sec Disks LAN I/O Controller

More information

PCE-5B13(7B14)-03 Backplane: 13(14)-slot BP for 14-Slot Chassis, 1 x PICMIG 1.3, 9 (10) x PCIe, 3 x 32-bit/33-MHz PCI

PCE-5B13(7B14)-03 Backplane: 13(14)-slot BP for 14-Slot Chassis, 1 x PICMIG 1.3, 9 (10) x PCIe, 3 x 32-bit/33-MHz PCI PCE-5B13(7B14)-03 Backplane: 13(14)-slot BP for 14-Slot Chassis, 1 x PICMIG 1.3, 9 (10) x PCIe, 3 x 32-bit/33-MHz PCI Packing List Specifications Before you begin installing your card, please make sure

More information

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system CS/ECE 217 GPU Architecture and Parallel Programming Lecture 16: GPU within a computing system Objective To understand the major factors that dictate performance when using GPU as an compute co-processor

More information

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010 SEMICON Solutions Bus Structure Created by: Duong Dang Date: 20 th Oct,2010 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single

More information

PRELIMINARY IDT7M9510 IDT7M9514

PRELIMINARY IDT7M9510 IDT7M9514 79RV60/79RC6V7 PCI MEZZANINE CARD PRELIMINARY 7M9510 7M951 FEATURES: PCI Mezzanine Card (PMC) (IEEE 1386) form factor 7M9510 High performance 79RV60 MIPS Processor 100Mhz, 150Mhz, 0Mhz, 00MHz CPU speeds

More information

Computer Architecture CS 355 Busses & I/O System

Computer Architecture CS 355 Busses & I/O System Computer Architecture CS 355 Busses & I/O System Text: Computer Organization & Design, Patterson & Hennessy Chapter 6.5-6.6 Objectives: During this class the student shall learn to: Describe the two basic

More information

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0 PCI-X Addendum to the PCI Local Bus Specification Revision 1.0 September 22, 1999 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 The PCI Special Interest Group disclaims all warranties and

More information

PC87200 PCI to ISA Bridge

PC87200 PCI to ISA Bridge PC87200 PCI to ISA Bridge 1.0 General Description The PC87200 Enhanced Integrated PCI-to-ISA bridge works with an LPC chipset to provide ISA slot support. It is a complement to the National Semiconductor

More information

NPCI-PMC Technical Reference Manual

NPCI-PMC Technical Reference Manual NPCI-PMC PCI Carrier for PMC Modules Technical Reference Manual V1.2 HW Revision 1.1 The NPCI-PMC has been designed by: N.A.T. GmbH Kamillenweg 22 D-53757 Sankt Augustin Phone: ++49/2241/3989-0 Fax: ++49/2241/3989-10

More information

High Level View. EE 122: Ethernet and Random Access protocols. Medium Access Protocols

High Level View. EE 122: Ethernet and Random Access protocols. Medium Access Protocols High Level View EE 122: Ethernet and 802.11 Ion Stoica September 18, 2002 Goal: share a communication medium among multiple hosts connected to it Problem: arbitrate between connected hosts Solution goals:

More information

Zephyr Engineering, Inc

Zephyr Engineering, Inc Zephyr Engineering, Inc User s Manual, ZPCI.2900, Rev B Rev 1.0 9 December, 2002 1. INTRODUCTION...1 2. JUMPER DEFINITIONS...1 3. CONNECTOR DEFINITIONS...1 4. SWITCH FUNCTIONS...2 5. LED FUNCTIONS...2

More information

Galileo GT System Controller for PowerPC Processors FEATURES. Product Review Revision 1.1 DEC 15, 1999

Galileo GT System Controller for PowerPC Processors FEATURES. Product Review Revision 1.1 DEC 15, 1999 Galileo GT-64130 System Controller for PowerPC Processors Product Review Revision 1.1 DEC 15, 1999 Please contact Galileo Technology for possible updates before finalizing a design. FEATURES Integrated

More information

PEX 8114BA PCI Express-to-PCI/PCI-X Bridge. Errata Documentation. Product Revision Description Status

PEX 8114BA PCI Express-to-PCI/PCI-X Bridge. Errata Documentation. Product Revision Description Status PEX 8114BA Errata Documentation Revision 2.2 March, 2011 PEX 8114BA PCI Express-to-PCI/PCI-X Bridge Errata Documentation A. Affected Silicon Revision This document details Errata for the following silicon:

More information

PCI Development Backplane

PCI Development Backplane PCI Development Backplane User s Guide October Order Number: -00 Information in this document is provided in connection with Intel products. No license, express or implied, by estoppel or otherwise, to

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

Computer Architectures

Computer Architectures Computer Architectures I/O subsystem 2 Michal Štepanovský, Pavel Píša, Miroslav Šnorek Czech Technical University in Prague, Faculty of Electrical Engineering Ver.1.10 1 Lecture outline I/O subsystem final

More information

Chapter 6. I/O issues

Chapter 6. I/O issues Computer Architectures Chapter 6 I/O issues Tien-Fu Chen National Chung Cheng Univ Chap6 - Input / Output Issues I/O organization issue- CPU-memory bus, I/O bus width A/D multiplex Split transaction Synchronous

More information

... Application Note AN-531. PCI Express System Interconnect Software Architecture. Notes Introduction. System Architecture.

... Application Note AN-531. PCI Express System Interconnect Software Architecture. Notes Introduction. System Architecture. PCI Express System Interconnect Software Architecture Application Note AN-531 Introduction By Kwok Kong A multi-peer system using a standard-based PCI Express (PCIe ) multi-port switch as the system interconnect

More information

Computer Architectures

Computer Architectures Computer Architectures Instruction Set Architectures 2018. április 22. Budapest Gábor Horváth associate professor BUTE Dept. of Networked Systems and Services ghorvath@hit.bme.hu 2 Instruction set architectures

More information

Owner s Manual for the DQP-1300 DRV11 Option Module for NuVAX and NuPDPq. DQP-1300-OM Revision A

Owner s Manual for the DQP-1300 DRV11 Option Module for NuVAX and NuPDPq. DQP-1300-OM Revision A Owner s Manual for the DQP-1300 DRV11 Option Module for NuVAX and NuPDPq DQP-1300-OM Revision A Owner's Manual for the DQP-1300 DRV11 Option Module for NuVAX and NuPDPq Document Number DQP-1300-OM Revision

More information

EE 122: Ethernet and

EE 122: Ethernet and EE 122: Ethernet and 802.11 Ion Stoica September 18, 2002 (* this talk is based in part on the on-line slides of J. Kurose & K. Rose) High Level View Goal: share a communication medium among multiple hosts

More information

Lecture 13: Bus and I/O. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 13: Bus and I/O. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 13: Bus and I/O James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L13 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Your goal today Housekeeping take first peek outside of the

More information

Errata history for PCI-X System Architecture, 1st Edition. Page Severity Description

Errata history for PCI-X System Architecture, 1st Edition. Page Severity Description pcixerratachangehistory.fm Page 1 Tuesday, September 2, 2003 1:48 PM Errata history for PCI-X System Architecture, 1st Edition. Change Date Page Severity Description 3/12/01 109 Medium Affects both the

More information

Organisasi Sistem Komputer

Organisasi Sistem Komputer LOGO Organisasi Sistem Komputer OSK 5 Input Output 1 1 PT. Elektronika FT UNY Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In different formats

More information

Knut Omang Ifi/Oracle 20 Oct, Introduction to virtualization (Virtual machines) Aspects of network virtualization:

Knut Omang Ifi/Oracle 20 Oct, Introduction to virtualization (Virtual machines) Aspects of network virtualization: Software and hardware support for Network Virtualization part 2 Knut Omang Ifi/Oracle 20 Oct, 2015 32 Overview Introduction to virtualization (Virtual machines) Aspects of network virtualization: Virtual

More information