A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

Size: px
Start display at page:

Download "A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote"

Transcription

1 A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote

2 Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build a High-Speed Interface Via Onboard FPGAs 2/37

3 Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build a High-Speed Interface Via Onboard FPGAs Obviously Too Big For Six Weeks Mainly Been Looking at Guts of PCI-Express 2/37

4 Outline PCI & PCI-Express Background The Software Perspective Bottom-up Through the Protocol Layers Physical Layer Data-Link Layer Transaction Layer SpiNNaker & PCI-Express on FPGA 3/37

5 Peripheral Component Interconnect (PCI) Intel, 1993 (I was 2 years old) Widely Used, Even Today Extension of Processor Bus Memory Mapped Peripherals Parallel Signalling (32/64 bit) Multi-drop Bus Originally MHz Later MHz/64 bit 4/37

6 (PCIe) Intel, Dell, HP, IBM 2004 (I m still only 13) Backward Compatible API Point-to-Point, Packet-Based One or More High-Speed Serial Lanes (more later) Revision 1.0: GHz 64 Gb/s, 15 PCI Speed ( GHz) Revision 3.0: GHz State-of-the-art: Gb/s (32 8 GHz) 5/37

7 PCIe Hierarchy CPU Memory Root Complex PCIe Graphics Switch Switch PCIe Endpoint PCIe Endpoint PCIe Endpoint PCI Bridge PCI Bus 6/37

8 PCIe Software Interface Memory PCIe Config Space PCIe Configuration Space Device Information Base Address Registers (BARs) Control Address Decoding BARs Configured By OS...FFFF 7/37

9 PCIe Software Interface Memory PCIe Config Space Device 1 Device 2 PCIe Configuration Space Device Information Base Address Registers (BARs) Control Address Decoding BARs Configured By OS Device Spaces Device Specific Contents Driver Given Pointer...FFFF 7/37

10 PCIe Protocol Layers Transaction Transaction Data-Link Physical Logical Electrical tx rx Data-Link Physical Logical Electrical tx rx 8/37

11 PCIe Protocol Layers Transaction Transaction Data-Link Physical Logical Electrical tx rx Data-Link Physical Logical Electrical tx rx 8/37

12 Mechanical Interface x1 x4 x8 x16 Power Data 9/37

13 PCIe Protocol Layers (Again) Transaction Transaction Data-Link Physical Logical Electrical tx rx Data-Link Physical Logical Electrical tx rx 10/37

14 Electrical Interface e.g. Device A Root Complex e.g. Device B Graphics Card Transmit & Receive Differential Pairs (Per Lane) Peer-to-Peer Arrangement AC Coupled via capacitor in transmitter 11/37

15 PCIe Protocol Layers (Yet Again) Transaction Transaction Data-Link Physical Logical Electrical tx rx Data-Link Physical Logical Electrical tx rx 12/37

16 Clock Recovery Data Unlucky Data H e l @ No Clock Signal Must Extract Clock From Data Requires Frequent Transitions 13/37

17 8b/10b Coding (PCIe 2.1) Raw D.00.2 D.00.2 D.00.2 D.00.2 Encodes 8-bit bytes into 10-bit symbols Transitions at least every 5 bits (for clock recovery) Bonus: Maintains DC Balance (due to AC coupling) Bonus: 8 Special K Symbols (out-of-band) 14/37

18 8b/10b Coding (PCIe 2.1) Raw D.00.2 D.00.2 D.00.2 D.00.2 Encodes 8-bit bytes into 10-bit symbols Transitions at least every 5 bits (for clock recovery) Bonus: Maintains DC Balance (due to AC coupling) Bonus: 8 Special K Symbols (out-of-band) Cereal Signalling... 14/37

19 A Quick Aside b/130b encoding introduced in PCIe v3.0 Different mechanism (scrambling, not lookup table) Reduces overhead from 20% to 1.54% Not covered in this presentation 15/37

20 Aligning 8b/10b Symbols xf20xf6P??d0xfd0xf9P0xbf?0xf5?0xa2P0xf60xfd0xdc?0 xfd0xf9p0xbf?0xf5?0xa2p0x xf20xf6p??d0xfd0xf9p0xbf? 0xf5?0xa2P0xf60xfd0xdc?0x... Where does one code end and another begin? 16/37

21 Aligning 8b/10b Symbols xf20xf6P??d>0xfd0xf9P0xb f?0xf5?0xa2p0xf60xfd0xdc? d0xfd0xf9p0xbf?0xf5?0xa2p Ux0xf20xf6P??d0xfd0xf9P0x bf?0xf5?0xa2>p0xf60xfd0xd... Where does one code end and another begin? Comma K Symbol inserted periodically 16/37

22 Aligning 8b/10b Symbols xf20xf6P??orld! Hello World! Hello World! Hello World! Hello World! Hello World! Hello World!... Where does one code end and another begin? Comma K Symbol inserted periodically When a comma is found, align bits 16/37

23 Clock Rate Disparity Tolerance Reference Clock 600 PPM Off-by-one every 1666 bits At 8 GHz, 0.5MB extra sent/received per second Solved by inserting extra SKP Ordered Sets (A Sequence of K Symbols) 17/37

24 SKP Example: Sender Faster Fills faster than empties Eventually falls above high mark SKP Sequence skipped to empty buffer faster Incoming Handled High Low Data SKP 18/37

25 SKP Example: Sender Faster Fills faster than empties Eventually falls above high mark SKP Sequence skipped to empty buffer faster Incoming Handled High Low Data SKP 18/37

26 SKP Example: Sender Faster Fills faster than empties Eventually falls above high mark SKP Sequence skipped to empty buffer faster Incoming Handled High Low Data SKP 18/37

27 SKP Example: Sender Faster Fills faster than empties Eventually falls above high mark SKP Sequence skipped to empty buffer faster Incoming Handled High Low Data SKP 18/37

28 SKP Example: Sender Faster Fills faster than empties Eventually falls above high mark SKP Sequence skipped to empty buffer faster Incoming Handled High Low Data SKP 18/37

29 PCIe Lanes Resync Sender Receiver Allows Some Speed Up by Adding Hardware N Serial Signals N Consecutive Bytes Sent in Parallel Resynchronised at Receiver (More Later) 19/37

30 PCIe Lanes Resync Sender Receiver Allows Some Speed Up by Adding Hardware N Serial Signals N Consecutive Bytes Sent in Parallel Resynchronised at Receiver (More Later) 19/37

31 PCIe Lanes Resync Sender Receiver Allows Some Speed Up by Adding Hardware N Serial Signals N Consecutive Bytes Sent in Parallel Resynchronised at Receiver (More Later) 19/37

32 PCIe Lanes Resync Sender Receiver Allows Some Speed Up by Adding Hardware N Serial Signals N Consecutive Bytes Sent in Parallel Resynchronised at Receiver (More Later) 19/37

33 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

34 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

35 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

36 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

37 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

38 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

39 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

40 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

41 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

42 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

43 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

44 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

45 Lane-to-Lane De-skew Data SKP Sender Receiver Lanes have varying skew Buffer each lane separately SKP sequences sent simultaneously on all lanes De-skew on such packets 20/37

46 Packet Framing STP (K27.7) Transaction Layer Packet TLP END (K29.7) SDP (K28.2) Data-Link Layer Packet DLLP END (K29.7) K Symbol Marks Start/End of Higher-Level Packets Distinguishes Target Protocol Layer 21/37

47 PCIe Protocol Layers (Yet Again, Again) Transaction Transaction Data-Link Physical Logical Electrical tx rx Data-Link Physical Logical Electrical tx rx 22/37

48 Data-Link Layer Reliable Delivery of Transaction Layer Packets (TLPs) Uses: Memory Transactions, Interrupt Signalling Error Detection Retransmission Out-of-band Data-Link Layer Packets (DLLPs) Uses: ACK/NACK, Power Management Error Detection No Retransmission Uses Must Tolerate Non-Delivery 23/37

49 Transaction Layer Packet (TLP) Framing Rsvd Sequence Number Transaction Layer Packet CRC Variable Length Seq. number allows many TLPs to be ACKed at once CRC for error detection: send NACK 24/37

50 Data-Link Layer Packets (DLLPs) DLLP Type [Type Specific Data] CRC Fixed (Short) Length Smaller CRC (As Less Data) 25/37

51 PCIe Protocol Layers (Yet Again, Again, One Last Time) Transaction Transaction Data-Link Physical Logical Electrical tx rx Data-Link Physical Logical Electrical tx rx 26/37

52 PCIe Transaction Layer Usually Exposed by FPGAs Transaction Types Memory Read/Write I/O Read/Write (Deprecated) Configuration Read/Write Message ( Interrupts ) Split Transactions (Requests & Completions) No Completion for Posted Requests (e.g. writes) 27/37

53 Transaction Layer Packets Type Type Specific Type Specific Type Specific 28/37

54 Transaction Layer Packets Type Type Specific Type Specific Type Specific Has Payload (i.e. Is Write) 28/37

55 Transaction Layer Packets Type Type Specific Type Specific Type Specific Payload Has Payload (i.e. Is Write) 28/37

56 Transaction Layer Packets Type Type Specific Type Specific Type Specific Header Length (3 or 4 words) Usually Specifies Either 32 or 64 bit Address 28/37

57 Transaction Layer Packets Type Type Specific Type Specific Type Specific Type Specific Header Length (3 or 4 words) Usually Specifies Either 32 or 64 bit Address 28/37

58 Transaction Layer Packets Type Type Specific Type Specific Type Specific Memory, I/O, Configuration, Message, Completion 28/37

59 Memory & I/O Request Packets Type 0 TC 0 Requester ID Attr H T D T P E Attr AT Length Tag Last DW BE First DW BE Address[31:2] 00 Data 29/37

60 Memory & I/O Request Packets Type 0 TC 0 Requester ID Attr H T D T P E Attr AT Length Tag Last DW BE First DW BE Address[31:2] 00 Data Word-Aligned Address Determines Target 29/37

61 Memory & I/O Request Packets Type 0 TC 0 Requester ID Attr H T D T P E Attr AT Length Tag Last DW BE First DW BE Address[31:2] 00 Data Length of Data Words 29/37

62 Memory & I/O Request Packets Type 0 TC 0 Requester ID Attr H T D T P E Attr AT Length Tag Last DW BE First DW BE Address[31:2] 00 Data Determines Completion Target Derived From Physical Slot 29/37

63 Memory & I/O Request Packets Type 0 TC 0 Requester ID Attr H T D T P E Attr AT Length Tag Last DW BE First DW BE Address[31:2] 00 Data Identifies Outstanding Transactions 29/37

64 Memory & I/O Completion Packets Type 0 TC 0000 D T P E Attr00 Length Completer ID Compl. Status +2 BCM +3 Byte Count Requester ID Tag 0 Lower Address Data 30/37

65 Memory & I/O Completion Packets Type 0 TC 0000 D T P E Attr00 Length Completer ID Compl. Status +2 BCM +3 Byte Count Requester ID Tag 0 Lower Address Data Length of Data Words 30/37

66 Memory & I/O Completion Packets Type 0 TC 0000 D T P E Attr00 Length Completer ID Compl. Status +2 BCM +3 Byte Count Requester ID Tag 0 Lower Address Data Determines Completion Target Derived From Physical Slot 30/37

67 Memory & I/O Completion Packets Type 0 TC 0000 D T P E Attr00 Length Completer ID Compl. Status +2 BCM +3 Byte Count Requester ID Tag 0 Lower Address Data Identifies Outstanding Transactions 30/37

68 Packet Routing Methods Address Based Based on BARs Used By Memory & I/O Requests ID Based Based on Physical Location Used By Configuration Requests Used By All Completions Implicit e.g. Send to Root Complex Message Requests 31/37

69 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

70 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

71 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

72 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

73 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

74 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

75 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

76 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

77 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

78 Credit-Based Flow Control Receive Buffer Credit Credit Due: 1 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

79 Credit-Based Flow Control Receive Buffer Credit Credit Due: 1 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

80 Credit-Based Flow Control Receive Buffer Credit Credit Due: 1 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

81 Credit-Based Flow Control Receive Buffer Credit Credit Due: 1 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

82 Credit-Based Flow Control Receive Buffer Credit Credit Due: 1 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

83 Credit-Based Flow Control Receive Buffer Credit Credit Due: 2 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

84 Credit-Based Flow Control Receive Buffer Credit Credit Due: 2 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

85 Credit-Based Flow Control Receive Buffer Credit Credit Due: 2 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

86 Credit-Based Flow Control Receive Buffer Credit Credit Due: 2 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

87 Credit-Based Flow Control Receive Buffer Credit Credit Due: 2 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

88 Credit-Based Flow Control Receive Buffer Credit Credit Due: 3 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

89 Credit-Based Flow Control Receive Buffer Credit 3 Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

90 Credit-Based Flow Control Receive Buffer Credit 3 Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

91 Credit-Based Flow Control Receive Buffer Credit 3 Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

92 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

93 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

94 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

95 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

96 Credit-Based Flow Control Receive Buffer Credit Credit Due: 0 Sender Receiver Sender Has A Limited Supply Of Credit Sending Data Uses Credit Receiver Returns Credit When Buffer Space Is Freed 32/37

97 Protocol Layers Recap Physical Layer Send/Receive Raw Data Data-Link Layer Send/Receive Some Data Reliably Transaction Layer Send/Receive High-Level Requests 33/37

98 PCI-Express on SpiNNaker I/O Via Ethernet is Slow Three FPGAs per Board Some Spare S-ATA Cable Connectors Use S-ATA Cable to PCIe Card Adapter Implement PCIe on FPGA 34/37

99 Xilinx Spartan-6 PCIe IP Core PCIe Signals GPE Transceiver (Hard IP) Spartan-6 Integrated Endpoint Block for PCIe Transaction Stream (AXI4) Configuration Interface clk & reset CoreGenerator IP PCIe v1.1 x1 (2 Gb/s) Comes Free With FPGA 35/37

100 Summary SpiNNaker Needs a Fast Host Interface PCI-Express Widely Used In PCs Bottom-up Through the Protocol Layers Physical Layer Data-Link Layer Transaction Layer SpiNNaker & FPGAs 36/37

101 37/37

102 A n y Q u e s t i o n s? 37/37

Peripheral Component Interconnect - Express

Peripheral Component Interconnect - Express PCIe Peripheral Component Interconnect - Express Preceded by PCI and PCI-X But completely different physically Logical configuration separate from the physical configuration Logical configuration is backward

More information

Understanding Performance of PCI Express Systems

Understanding Performance of PCI Express Systems White Paper: Virtex-4 and Virtex-5 FPGAs R WP350 (v1.1) September 4, 2008 Understanding Performance of PCI Express Systems By: Alex Goldhammer and John Ayer Jr. PCI Express technology, which is a serialized

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

Pretty Good Protocol - Design Specification

Pretty Good Protocol - Design Specification Document # Date effective October 23, 2006 Author(s) Ryan Herbst Supersedes Draft Revision 0.02 January 12, 2007 Document Title Pretty Good Protocol - Design Specification CHANGE HISTORY LOG Revision Effective

More information

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system CS/ECE 217 GPU Architecture and Parallel Programming Lecture 16: GPU within a computing system Objective To understand the major factors that dictate performance when using GPU as an compute co-processor

More information

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os

Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Multi-Gigabit Transceivers Getting Started with Xilinx s Rocket I/Os Craig Ulmer cdulmer@sandia.gov July 26, 2007 Craig Ulmer SNL/CA Sandia is a multiprogram laboratory operated by Sandia Corporation,

More information

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa Interconnection Structures Patrick Happ Raul Queiroz Feitosa Objective To present key issues that affect interconnection design. Interconnection Structures 2 Outline Introduction Computer Busses Bus Types

More information

Computer Architecture

Computer Architecture Computer Architecture PCI and PCI Express 2018. február 22. Budapest Gábor Horváth associate professor BUTE Dept. of Networked Systems and Services ghorvath@hit.bme.hu 2 The PCI standard PCI = Peripheral

More information

AN 690: PCI Express DMA Reference Design for Stratix V Devices

AN 690: PCI Express DMA Reference Design for Stratix V Devices AN 690: PCI Express DMA Reference Design for Stratix V Devices an690-1.0 Subscribe The PCI Express Avalon Memory-Mapped (Avalon-MM) DMA Reference Design highlights the performance of the Avalon-MM 256-Bit

More information

AN 829: PCI Express* Avalon -MM DMA Reference Design

AN 829: PCI Express* Avalon -MM DMA Reference Design AN 829: PCI Express* Avalon -MM DMA Reference Design Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1....3 1.1. Introduction...3 1.1.1.

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Virtex-7 FPGA Gen3 Integrated Block for PCI Express

Virtex-7 FPGA Gen3 Integrated Block for PCI Express Virtex-7 FPGA Gen3 Integrated Block for PCI Express Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications......................................................................

More information

PCI Express System Architecture Book Errata

PCI Express System Architecture Book Errata Errata for First Edition, First Book Printing Table 1 lists errata for the PCI Express System Architecture Book, First Edition, First Printing, dated September 2003. We appreciate that our readers keep

More information

2. THE PCI EXPRESS BUS

2. THE PCI EXPRESS BUS 1 2. THE PCI EXPRESS BUS This laboratory work presents the serial variant of the PCI bus, referred to as PCI Express. After an overview of the PCI Express bus, details about its architecture are presented,

More information

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (3 rd Week)

(Advanced) Computer Organization & Architechture. Prof. Dr. Hasan Hüseyin BALIK (3 rd Week) + (Advanced) Computer Organization & Architechture Prof. Dr. Hasan Hüseyin BALIK (3 rd Week) + Outline 2. The computer system 2.1 A Top-Level View of Computer Function and Interconnection 2.2 Cache Memory

More information

PCI Express Avalon-MM DMA Reference Design

PCI Express Avalon-MM DMA Reference Design PCI Express Avalon-MM DMA Reference Design AN-690 2016.05.28 Subscribe Send Feedback Contents Contents 1 AN 690:...3 1.1 Deliverables Included with the Reference Design...3 1.2 Reference Design Functional

More information

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER

UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER UNIVERSAL VERIFICATION METHODOLOGY BASED VERIFICATION ENVIRONMENT FOR PCIE DATA LINK LAYER Dr.T.C.Thanuja [1], Akshata [2] Professor, Dept. of VLSI Design & Embedded systems, VTU, Belagavi, Karnataka,

More information

Figure 1 SATA Communication Layer

Figure 1 SATA Communication Layer SATA-IP Bridge reference design on AC701 manual Rev1.0 9-May-14 1. Introduction Serial ATA (SATA) is an evolutionary replacement for the Parallel ATA (PATA) physical storage interface. SATA interface increases

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING

fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING fleximac A MICROSEQUENCER FOR FLEXIBLE PROTOCOL PROCESSING A Lattice Semiconductor White Paper February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503)

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design 2014.12.19 AN-456-2.3 Subscribe The PCI Express High-Performance Reference Design highlights the performance of the Altera s PCI Express products. The design includes a high-performance chaining direct

More information

Xilinx Answer Virtex-6 Integrated PCIe Block Wrapper Debugging and Packet Analysis Guide

Xilinx Answer Virtex-6 Integrated PCIe Block Wrapper Debugging and Packet Analysis Guide Xilinx Answer 50234 Virtex-6 Integrated PCIe Block Wrapper Debugging and Packet Analysis Guide Important Note: This downloadable PDF of an answer record is provided to enhance its usability and readability.

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design 2017.04.20 AN-456-2.5 Subscribe The PCI Express High-Performance Reference Design highlights the performance of the Altera s PCI Express products. The design includes a high-performance chaining direct

More information

Functional Diagram: Serial Interface: Serial Signals:

Functional Diagram: Serial Interface: Serial Signals: PCIe4-SIO8BX2-SYNC Eight Channel High Performance Synchronous Serial I/O PCIe Card Featuring RS422/RS485/RS232 Software Configurable Transceivers and 32K Byte Buffers (512K Byte total) The PCIe4-SIO8BX2-SYNC

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

... Application Note AN-531. PCI Express System Interconnect Software Architecture. Notes Introduction. System Architecture.

... Application Note AN-531. PCI Express System Interconnect Software Architecture. Notes Introduction. System Architecture. PCI Express System Interconnect Software Architecture Application Note AN-531 Introduction By Kwok Kong A multi-peer system using a standard-based PCI Express (PCIe ) multi-port switch as the system interconnect

More information

Efficient Data Transfers

Efficient Data Transfers Efficient Data fers Slide credit: Slides adapted from David Kirk/NVIDIA and Wen-mei W. Hwu, 2007-2016 PCIE Review Typical Structure of a CUDA Program Global variables declaration Function prototypes global

More information

6.9. Communicating to the Outside World: Cluster Networking

6.9. Communicating to the Outside World: Cluster Networking 6.9 Communicating to the Outside World: Cluster Networking This online section describes the networking hardware and software used to connect the nodes of cluster together. As there are whole books and

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design

BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design BlazePPS (Blaze Packet Processing System) CSEE W4840 Project Design Valeh Valiollahpour Amiri (vv2252) Christopher Campbell (cc3769) Yuanpei Zhang (yz2727) Sheng Qian ( sq2168) March 26, 2015 I) Hardware

More information

Design and Simulation of a PCI Express based Embedded System

Design and Simulation of a PCI Express based Embedded System Design and Simulation of a PCI Express based Embedded System Faraj Nassar 1, Jan Haase 1, Christoph Grimm 1, Herbert Nachtnebel 1, Majid Ghameshlu 2 1 Institute of Computer Technology, Vienna University

More information

Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks

Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks Research and Analysis of Flow Control Mechanism for Transport Protocols of the SpaceWire Onboard Networks Nikolay Sinyov, Valentin Olenev, Irina Lavrovskaya, Ilya Korobkov {nikolay.sinyov, valentin.olenev,

More information

Links, clocks, optics and radios

Links, clocks, optics and radios Links, clocks, optics and radios end IP addresses Source Destination Data 171.64.74.55 176.22.45.66 176 10110000 start Example of On-Off Keying +5V 0V Volts 1 0 time Data 0 1 1 0 0 1 0 1 1 0 1 0 1 0

More information

STUDY, DESIGN AND SIMULATION OF FPGA BASED USB 2.0 DEVICE CONTROLLER

STUDY, DESIGN AND SIMULATION OF FPGA BASED USB 2.0 DEVICE CONTROLLER STUDY, DESIGN AND SIMULATION OF FPGA BASED USB 2.0 DEVICE CONTROLLER 1 MS. PARUL BAHUGUNA CD 1 M.E. [VLSI & Embedded System Design] Student, Gujarat Technological University PG School, Ahmedabad, Gujarat.

More information

Intel Thunderbolt. James Coddington Ed Mackowiak

Intel Thunderbolt. James Coddington Ed Mackowiak Intel Thunderbolt James Coddington Ed Mackowiak Thunderbolt Basics PCI Express and DisplayPort through a single connection made available to external devices. Thunderbolt Basics Developed by Intel and

More information

Building blocks for custom HyperTransport solutions

Building blocks for custom HyperTransport solutions Building blocks for custom HyperTransport solutions Holger Fröning 2 nd Symposium of the HyperTransport Center of Excellence Feb. 11-12 th 2009, Mannheim, Germany Motivation Back in 2005: Quite some experience

More information

cpci-dart Base-Board & Daughter-Board

cpci-dart Base-Board & Daughter-Board DYNAMIC ENGINEERING 150 DuBois, Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual cpci-dart Base-Board & Daughter-Board Eight-Channel

More information

An FPGA-Based Optical IOH Architecture for Embedded System

An FPGA-Based Optical IOH Architecture for Embedded System An FPGA-Based Optical IOH Architecture for Embedded System Saravana.S Assistant Professor, Bharath University, Chennai 600073, India Abstract Data traffic has tremendously increased and is still increasing

More information

AN 575: PCI Express-to-DDR2 SDRAM Reference Design

AN 575: PCI Express-to-DDR2 SDRAM Reference Design AN 575: PCI Express-to-DDR2 SDRAM Reference Design April 2009 AN-575-1.0 Introduction This application note introduces the dedicated PCI Express logic block implemented in Arria II GX FPGA hardware and

More information

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest Designing with the Xilinx 7 Series PCIe Embedded Block Follow @avnetxfest Tweet this event: #avtxfest www.facebook.com/xfest2012 Why Would This Presentation Matter to You? 2 If you are designing a PCIe

More information

VXS-621 FPGA & PowerPC VXS Multiprocessor

VXS-621 FPGA & PowerPC VXS Multiprocessor VXS-621 FPGA & PowerPC VXS Multiprocessor Xilinx Virtex -5 FPGA for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications Two PMC/XMC

More information

PCI and PCI Express Bus Architecture

PCI and PCI Express Bus Architecture PCI and PCI Express Bus Architecture Computer Science & Engineering Department Arizona State University Tempe, AZ 85287 Dr. Yann-Hang Lee yhlee@asu.edu (480) 727-7507 7/23 Buses in PC-XT and PC-AT ISA

More information

PCI-SIG ENGINEERING CHANGE NOTICE

PCI-SIG ENGINEERING CHANGE NOTICE PCI-SIG ENGINEERING CHANGE NOTICE TITLE: Lightweight Notification (LN) Protocol DATE: Introduced: Jan 27, 2009; Last Updated Oct 2, 2011 Protocol Workgroup Final Approval: October 6, 2011 AFFECTED DOCUMENT:

More information

USB 3.0 A Cost Effective High Bandwidth Solution for FPGA Host Interface Introduction

USB 3.0 A Cost Effective High Bandwidth Solution for FPGA Host Interface Introduction USB 3.0 A Cost Effective High Bandwidth Solution for FPGA Host Interface Introduction The USB 3.0 has revolutionized the world of desktops and mobile devices by bringing much higher bandwidth and better

More information

DesignCon SerDes Architectures and Applications. Dave Lewis, National Semiconductor Corporation

DesignCon SerDes Architectures and Applications. Dave Lewis, National Semiconductor Corporation DesignCon 2004 SerDes Architectures and Applications Dave Lewis, National Semiconductor Corporation Abstract When most system designers look at serializer/deserializer (SerDes) devices, they often compare

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

LightSpeed1000 (w/ GigE and USB 2.0) OC-3/STM-1, OC-12/STM-4 Analysis and Emulation Card

LightSpeed1000 (w/ GigE and USB 2.0) OC-3/STM-1, OC-12/STM-4 Analysis and Emulation Card Wirespeed Record/Playback/ Processing of ATM, PoS, RAW, and Ethernet Traffic LightSpeed1000 (w/ GigE and USB 2.0) OC-3/STM-1, OC-12/STM-4 Analysis and Emulation Card x4 PCIExpress, USB 2.0, and Gigabit

More information

Development of a PXI Express Peripheral Module and data transfer platform

Development of a PXI Express Peripheral Module and data transfer platform Development of a PXI Express Peripheral Module and data transfer platform by Matthew David Bourne A thesis submitted to the Victoria University of Wellington in fulfilment of the requirements for the degree

More information

HyperTransport. Dennis Vega Ryan Rawlins

HyperTransport. Dennis Vega Ryan Rawlins HyperTransport Dennis Vega Ryan Rawlins What is HyperTransport (HT)? A point to point interconnect technology that links processors to other processors, coprocessors, I/O controllers, and peripheral controllers.

More information

Understanding JESD204B High-speed inter-device data transfers for SDR

Understanding JESD204B High-speed inter-device data transfers for SDR Understanding JESD204B High-speed inter-device data transfers for SDR Lars-Peter Clausen Introduction JESD204 Standard Designed as high-speed serial data link between converter (ADC, DAC) and logic device

More information

SpaceWire IP for Actel Radiation Tolerant FPGAs

SpaceWire IP for Actel Radiation Tolerant FPGAs SpaceWire IP for Actel Radiation Tolerant FPGAs Steve Parkes, Chris McClements Space Technology Centre, University of Dundee Zaf Mahmood Actel UK 1 Actel RTAX-S Devices 2 Radiation tolerant FPGAs Non-volatile

More information

DYNAMIC ENGINEERING 150 DuBois St., Suite C Santa Cruz, CA (831) Fax (831) Est.

DYNAMIC ENGINEERING 150 DuBois St., Suite C Santa Cruz, CA (831) Fax (831) Est. DYNAMIC ENGINEERING 150 DuBois St., Suite C Santa Cruz, CA 95060 (831) 457-8891 Fax (831) 457-4793 http://www.dyneng.com sales@dyneng.com Est. 1988 User Manual PCIe4lHOTLinkx6 PCIe 4 Lane design with Six

More information

PCI Express High Performance Reference Design

PCI Express High Performance Reference Design PCI Express High Performance Reference Design AN-456-1.4 Application Note The PCI Express High-Performance Reference Design highlights the performance of the Altera Stratix V Hard IP for PCI Express and

More information

Interlaken IP datasheet

Interlaken IP datasheet Key words:interlaken, MAC, PCS, SERDES Abstract:Interlaken MAC/PCS implementation per Interlaken protocol v1.2 All rights reserved Table of Contents 1. Introduction...4 2. Specification...4 3. Architecture...4

More information

PCI Express Throughput Demo Verilog Source Code User s Guide

PCI Express Throughput Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2009 UG07_01.4 Introduction This user s guide provides details of the Verilog code used for the Lattice PCI Express SFIF Demo (also known as the Throughput Demo).

More information

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs User Guide Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use

More information

Computer buses and interfaces

Computer buses and interfaces FYS3240-4240 Data acquisition & control Computer buses and interfaces Spring 2018 Lecture #7 Reading: RWI Ch7 and page 559 Bekkeng 14.02.2018 Abbreviations B = byte b = bit M = mega G = giga = 10 9 k =

More information

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor

VXS-610 Dual FPGA and PowerPC VXS Multiprocessor VXS-610 Dual FPGA and PowerPC VXS Multiprocessor Two Xilinx Virtex -5 FPGAs for high performance processing On-board PowerPC CPU for standalone operation, communications management and user applications

More information

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures

Programmable Logic Design Grzegorz Budzyń Lecture. 15: Advanced hardware in FPGA structures Programmable Logic Design Grzegorz Budzyń Lecture 15: Advanced hardware in FPGA structures Plan Introduction PowerPC block RocketIO Introduction Introduction The larger the logical chip, the more additional

More information

Sampling and Reconstruction of Ordered Sets in PCIe 3.0

Sampling and Reconstruction of Ordered Sets in PCIe 3.0 Sampling and Reconstruction of Ordered Sets in PCIe 3.0 Sagar Kumar K S 1, Venkategowda N 2 P.G. Student, Department of Electronics and Communication, MITE College, Moodbidri, Karnataka India 1 Assistant

More information

Lecture 23. Finish-up buses Storage

Lecture 23. Finish-up buses Storage Lecture 23 Finish-up buses Storage 1 Example Bus Problems, cont. 2) Assume the following system: A CPU and memory share a 32-bit bus running at 100MHz. The memory needs 50ns to access a 64-bit value from

More information

Intel QuickPath Interconnect Electrical Architecture Overview

Intel QuickPath Interconnect Electrical Architecture Overview Chapter 1 Intel QuickPath Interconnect Electrical Architecture Overview The art of progress is to preserve order amid change and to preserve change amid order Alfred North Whitehead The goal of this chapter

More information

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 July 14, 03 REVISION REVISION HISTORY DATE 1.0 Initial release 7/14/03 PCI-SIG disclaims all warranties and liability for the use of this document

More information

PCI Express Basic Demo Verilog Source Code User s Guide

PCI Express Basic Demo Verilog Source Code User s Guide Verilog Source Code User s Guide December 2010 UG15_01.3 Introduction This user s guide provides details of the Verilog code used for the Lattice. A block diagram of the entire design is provided followed

More information

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 1 of 14 JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 2 of 14 CONTENTS INTRODUCTION... 3 SCOPE... 3 HARDWARE...

More information

Achieving UFS Host Throughput For System Performance

Achieving UFS Host Throughput For System Performance Achieving UFS Host Throughput For System Performance Yifei-Liu CAE Manager, Synopsys Mobile Forum 2013 Copyright 2013 Synopsys Agenda UFS Throughput Considerations to Meet Performance Objectives UFS Host

More information

SATA PHY Design Manual

SATA PHY Design Manual SATA PHY Design Manual BeanDigital (v1.0) 1 July 2012 Revision History Date Version Revision 11/07/12 1.0 Initial release Page 2 1 Contents 2 Introduction... 4 3 Block Diagram... 4 4 Interface... 5 5 Parameters...

More information

Using PEX 8648 SMA based (SI) Card

Using PEX 8648 SMA based (SI) Card Using PEX 8648 SMA based (SI) Card White Paper Version 1.3 July 2010 Website: Technical Support: www.plxtech.com www.plxtech.com/support Copyright 2008 by PLX Technology, Inc. All Rights Reserved Version

More information

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs

Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs Virtex-5 FPGA Integrated Endpoint Block for PCI Express Designs User Guide R Xilinx is disclosing this Document and Intellectual Property (hereinafter the Design ) to you for use in the development of

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 17: Serial Buses USB Disks and other I/O Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture

More information

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide

Lattice PCI Express x4 Scatter-Gather DMA Demo Verilog Source Code User s Guide DMA Demo Verilog Source Code User s Guide January 2008 UG06_01.0 Introduction This user s guide provides details of the Verilog code used for the DMA Demo. A block diagram of the entire design is provided

More information

Table 21. OOB signal transmitter requirements Idle time minimum. maximum 175 ns

Table 21. OOB signal transmitter requirements Idle time minimum. maximum 175 ns To: T10 Technical Committee From: Rob Elliott, HP (elliott@hp.com) Date: 28 June 2002 Subject: T10/02-198r4 SAS OOB timing T10/02-198r4 SAS OOB timing Revision History Revision 0 (20 May 2002) first revision

More information

Long-range RMDA over PCI Express. Henrik Nårstad Master s Thesis Autumn 2015

Long-range RMDA over PCI Express. Henrik Nårstad Master s Thesis Autumn 2015 Long-range RMDA over PCI Express Henrik Nårstad Master s Thesis Autumn 2015 Long-range RMDA over PCI Express Henrik Nårstad iii Abstract Many computing related tasks today require a lot of hardware infrastructure

More information

Overview The OOB timing requirements need to be more precise, listing the transmit burst time and specifying receiver tolerances.

Overview The OOB timing requirements need to be more precise, listing the transmit burst time and specifying receiver tolerances. To: T10 Technical Committee From: Rob Elliott, HP (elliott@hp.com) Date: 19 June 2002 Subject: T10/02-198r3 SAS OOB timing T10/02-198r3 SAS OOB timing Revision History Revision 0 (20 May 2002) first revision

More information

Pactron FPGA Accelerated Computing Solutions

Pactron FPGA Accelerated Computing Solutions Pactron FPGA Accelerated Computing Solutions Intel Xeon + Altera FPGA 2015 Pactron HJPC Corporation 1 Motivation for Accelerators Enhanced Performance: Accelerators compliment CPU cores to meet market

More information

PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing

PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing Abstract PCI Express 1.0a and 1.1 Add-In Card Transmitter Testing Joan Gibson November 2006 SR-TN062 Add-in cards designed for PCI Express require numerous tests to assure inter-operability with different

More information

PCI-SIG ENGINEERING CHANGE NOTICE

PCI-SIG ENGINEERING CHANGE NOTICE TITLE: PCI-SIG ENGINEERING CHANGE NOTICE Optimized Buffer Flush/Fill DATE: Updated 30 April 2009, original request: 8 February 2008 AFFECTED DOCUMENTS: SPONSORS: Part I PCI Express Base Specification,

More information

Bus Master DMA Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions Author: Jake Wiltgen and John Ayer

Bus Master DMA Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express Solutions Author: Jake Wiltgen and John Ayer XAPP1052 (v2.5) December 3, 2009 Application Note: Virtex-6, Virtex-5, Spartan-6 and Spartan-3 FPGA Families Bus Master DMA Performance Demonstration Reference Design for the Xilinx Endpoint PCI Express

More information

INTERNATIONAL STANDARD

INTERNATIONAL STANDARD INTERNATIONAL STANDARD ISO/IEC 11518-10 First edition 2001-03 Information technology High-performance parallel interface Part 10: 6 400 Mbit/s Physical Layer (HIPPI-6400-PH) Reference number ISO/IEC 11518-10:2001(E)

More information

2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved.

2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. Lecture slides prepared for Computer Organization and Architecture, 10/e, by William Stallings, Chapter 3 A Top Level View of Computer Function and Interconnection. 1 At a top level, a computer consists

More information

Table 21. OOB signal transmitter requirements Idle time minimum. maximum 175 ns

Table 21. OOB signal transmitter requirements Idle time minimum. maximum 175 ns To: T10 Technical Committee From: Rob Elliott (elliott@hp.com) and Thomas Grieff (thomas.grieff@hp.com), HP Date: 18 July 2002 Subject: T10/02-198r6 SAS OOB timing T10/02-198r6 SAS OOB timing Revision

More information

Implementation and verification of PCI express interface in a SoC

Implementation and verification of PCI express interface in a SoC Implementation and verification of PCI express interface in a SoC Vinay Kumar Pamula a) and Sai Raghavendra Mantripragada b) Department of ECE, University College of Engineering Kakinada, JNTUK, Kakinada

More information

Implementation and Analysis of Large Receive Offload in a Virtualized System

Implementation and Analysis of Large Receive Offload in a Virtualized System Implementation and Analysis of Large Receive Offload in a Virtualized System Takayuki Hatori and Hitoshi Oi The University of Aizu, Aizu Wakamatsu, JAPAN {s1110173,hitoshi}@u-aizu.ac.jp Abstract System

More information

PCI Express Base Specification Revision 3.0. November 10, 2010

PCI Express Base Specification Revision 3.0. November 10, 2010 PCI Express Base Specification Revision 3.0 November 10, 2010 Revision Revision History DATE 1.0 Initial release. 07/22/2002 1.0a Incorporated Errata C1-C66 and E1-E4.17. 04/15/2003 1.1 Incorporated approved

More information

PCI Express Protocol Triggering and Decode for Infiniium 9000 Series Oscilloscopes

PCI Express Protocol Triggering and Decode for Infiniium 9000 Series Oscilloscopes PCI Express Protocol Triggering and Decode for Infiniium 9000 Series Oscilloscopes Data sheet This application is available in the following license variations. Order N5463B for a user-installed license

More information

Optical Data Interface ODI-1 Physical Layer Preliminary Specification. Revision Date

Optical Data Interface ODI-1 Physical Layer Preliminary Specification. Revision Date Optical Data Interface O-1 Physical Layer Preliminary Specification Revision Date 171002 2 O 3-part Specification O-2.1: High-Speed Formats 8 to 16 bit data formats Packing Methods Optimized for SDR &

More information

Digital Logic Level. Buses PCI (..continued) PTE MIK MIT

Digital Logic Level. Buses PCI (..continued) PTE MIK MIT Digital Logic Level Buses PCI (..continued) varady.geza@mik.pte.hu PTE MIK MIT PCI - arbitration REQ# GNT# REQ# GNT# PCI arbiter REQ# GNT# The PCI bus has to be acquired before use by the devices PCI uses

More information

PCI Express Protocol Solutions for Testing and Compliance

PCI Express Protocol Solutions for Testing and Compliance PCI Express Protocol Solutions for Testing and Compliance Summit T3-16 Analyzer Summit T2-16 Analyzer Summit Z2-16 Exerciser PETracer ML Analyzer PETracer ML Exerciser Edge T1-4 Analyzer LeCroy, a worldwide

More information

Fiberlizer-Module Top View (As Assembled On Card)

Fiberlizer-Module Top View (As Assembled On Card) Fiberlizer Receive (Rx) Operation User's Guide Ports: TTL In or 10Mhz IRIG Clk Trigger Out 0 Trigger Out 1 Trigger Out2 Trigger Out 3 Trigger Out 4 Trigger Out 5 Trigger Out 6 Trigger Out 7 Block Advance

More information

CS244a: An Introduction to Computer Networks

CS244a: An Introduction to Computer Networks Do not write in this box MCQ 13: /10 14: /10 15: /0 16: /0 17: /10 18: /10 19: /0 0: /10 Total: Name: Student ID #: Campus/SITN-Local/SITN-Remote? CS44a Winter 004 Professor McKeown CS44a: An Introduction

More information

NVM PCIe Networked Flash Storage

NVM PCIe Networked Flash Storage NVM PCIe Networked Flash Storage Peter Onufryk Microsemi Corporation Santa Clara, CA 1 PCI Express (PCIe) Mid-range/High-end Specification defined by PCI-SIG Software compatible with PCI and PCI-X Reliable,

More information

PCI Express Packet Analysis with Down Stream Port Model and PIO Example Design. Deepesh Man Shakya. Page 1 of 66

PCI Express Packet Analysis with Down Stream Port Model and PIO Example Design. Deepesh Man Shakya. Page 1 of 66 PCI Express Packet Analysis with Down Stream Port Model and PIO Example Design Deepesh Man Shakya Page 1 of 66 Table of Contents Introduction...3 PIO Example Design...3 Downstream Port Model...4 Files

More information

Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances

Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances Technology Brief Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances Intel PRO/1000 PT and PF Quad Port Bypass Server Adapters for In-line Server Appliances The world

More information

TOE10G-IP with CPU reference design

TOE10G-IP with CPU reference design TOE10G-IP with CPU reference design Rev1.1 6-Feb-19 1 Introduction TCP/IP is the core protocol of the Internet Protocol Suite for networking application. TCP/IP model has four layers, i.e. Application

More information

TOE10G-IP Multisession Demo Instruction Rev Nov-16

TOE10G-IP Multisession Demo Instruction Rev Nov-16 TOE10G-IP Multisession Demo Instruction Rev1.0 18-Nov-16 This document describes the instruction to show 10Gb Ethernet data transfer between FPGA board and PC. PC can run up to eight test applications

More information

Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express

Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express Lecture 2 Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express Bus (Sta06 Fig 3.16) For communication with and between devices Broadcast (yleislähetys): most common Everybody

More information

A Design and Implementation of Custom Communication Protocol Based on Aurora

A Design and Implementation of Custom Communication Protocol Based on Aurora A Design and Implementation of Custom Communication Protocol Based on Aurora BING LI School of Integrated Circuits Southeast University Sipailou No.2, Nanjing, Jiangsu Province, China Southeast University

More information

From Signals to Packets Computer Networking. Link Layer: Implementation. Datalink Functions. Lecture 5 - Coding and Error Control

From Signals to Packets Computer Networking. Link Layer: Implementation. Datalink Functions. Lecture 5 - Coding and Error Control From Signals to Packets 15-441 Computer Networking Lecture 5 - Coding and Error Control Analog Signal Digital Signal Bit Stream 0 0 1 0 1 1 1 0 0 0 1 Packets 0100010101011100101010101011101110000001111010101110101010101101011010111001

More information

UltraScale Architecture Integrated IP Core for Interlaken v1.3

UltraScale Architecture Integrated IP Core for Interlaken v1.3 UltraScale Architecture Integrated IP Core for Interlaken v1.3 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Technical Backgrounder: The Optical Data Interface Standard April 28, 2018

Technical Backgrounder: The Optical Data Interface Standard April 28, 2018 ! AdvancedTCA Extensions for Instrumentation and Test PO Box 1016 Niwot, CO 80544-1016 (303) 652-1311 FAX (303) 652-1444 Technical Backgrounder: The Optical Data Interface Standard April 28, 2018 AXIe

More information