PRELIMINARY. CONTROL and Write LOGIC

Size: px
Start display at page:

Download "PRELIMINARY. CONTROL and Write LOGIC"

Transcription

1 Features Zero Bus Latency, no dead cycles between Write and Read cycles Fast clock speed: 25, 2, and 67 MHz Fast access time: 2.4, 3. and 3.4 ns Internally synchronized registered outputs eliminate the need to control OE Single 3.3V 5% and +5% power supply V DD Separate for 3.3V or 2.5V Single WE (Read/Write) control pin Positive clock-edge triggered, address, data, and control signal registers for fully pipelined applications Interleaved or linear four-word burst capability Individual byte write (BWS a BWS h ) control (may be tied LOW) CEN pin to enable clock and suspend operations Three chip enables for simple depth expansion JTG boundary scan for BG packaging version vailable in 9-ball bump BG and -pin TQFP packages ( and ). 65-ball FBG and 29-ball BG () package are offered by opportunity basis. (Please contact Cypress sales or marketing) Functional Description The,, and SRMs are designed to eliminate dead cycles when making transitions from Read to Write or vice versa. These SRMs are optimized for % bus utilization and achieve Zero Bus Latency. They integrate 2,97,52 36/4,94,34 8/,48, SRM cells, respectively, with advanced synchronous peripheral circuitry and a two-bit counter for internal burst operation. The Cypress Synchronous Burst SRM family employs high-speed, low-power CMOS designs using advanced single-layer polysilicon, three-layer metal technology. Each memory cell consists of six transistors. ll synchronous inputs are gated by registers controlled by a positive-edge-triggered Clock Input (CLK). The synchronous inputs include all addresses, all data inputs, depth-expansion PRELIMINRY 2M x 36/4M x 8/M x 72 Pipelined SRM with NoBL rchitecture Chip Enables (CE, CE 2, and CE 3 ), cycle start input (DV/LD), Clock Enable (CEN), Byte Write Selects (BWS a, BWS b, BWS c, BWS d, BWS e, BWS f, BWS g, BWS h ), and Read/Write control (WE). BWS c and BWS d apply to and only. BWS e, BWS f, BWS g, and BWS h apply to only. ddress and control signals are applied to the SRM during one clock cycle, and two cycles later its associated data occurs, either Read or Write. Clock Enable (CEN) pin allows operation of the,, and to be suspended as long as necessary. ll synchronous inputs are ignored when (CEN) is HIGH; the internal device registers will hold their previous values. There are three Chip Enable (CE, CE 2, CE 3 ) pins that allow the user to deselect the device when desired. If any one of these three is not active when DV/LD is LOW, no new memory operation can be initiated and any burst cycle in progress is stopped. However, any pending data transfers (read or write) will be completed. The data bus will be in a high-impedance state two cycles after chip is deselected or a Write cycle is initiated. The,, and have an on-chip two-bit burst counter. In burst mode,,, and provide four cycles of data for a single address presented to the SRM. The order of the burst sequence is defined by the MODE input pin. The MODE pin selects between linear and interleaved burst sequence. The DV/LD signal is used to load a new external address (DV/LD = LOW) or increment the internal burst counter (DV/LD = HIGH) Output Enable (OE) and burst sequence select (MODE) are the asynchronous signals. OE can be used to disable the outputs at any given time. ZZ may be tied to LOW if it is not used. Four pins are used to implement JTG test capabilities. The JTG circuitry is used to serially shift data to and from the device. JTG inputs use LVTTL/LVCMOS levels to shift data during this testing mode of operation. Logic Block Diagram CLK DV/LD CE D Data-In REG. Q x X DQ X DPX BWS X CEN X = 2: X=a,b,c,d X=a,b,c,d X=a,b,c,d 2M 36 CE CE 2 CE 3 4M 8 X = 2: X = a, b X = a, b X = a, b WE BWS x M 72 X = 9: X = a, b, X = a, b, X = a, b c,d,e,f,g,h c,d,e,f,g,h c,d,e,f,g,h Mode CONTROL and Write LOGIC 2M 36/ 4M 8/ M 72 MEMORY RRY OUTOUT REGISTERS and LOGIC DQ x DP x OE Cypress Semiconductor Corporation 39 North First Street San Jose, C Document #: Rev. * Revised January 24, 23

2 PRELIMINRY Selection Guide Unit Maximum ccess Time ns Maximum Operating Current TBD TBD TBD m Maximum CMOS Standby Current TBD TBD TBD m Pin Configurations CE CE 2 BWSd BWSc BWSb BWSa CE 3 V DD CLK WE CEN OE DV/LD -pin TQFP Packages CE CE 2 BWSb BWSa CE 3 V DD CLK WE CEN OE DPc V DD DPd DPb V DD ZZ DPa V DD DV/LD V DD 6 (2M 36) (4M 8) DPb DPa V DD ZZ V DD MODE MODE Document #: Rev. * Page 2 of 26

3 PRELIMINRY Pin Configurations (continued) B C D E F G H J K L M N P R T U B C D E F G H J K L M N P R T U 9-ball Bump BG (2M 36) 7 7 BG CE 2 DV/LD CE 3 V DD DQ c DP c DP b DQ b DQ c DQ c CE DQ b DQ b DQ c OE DQ b DQ c DQ c BWS c BWS b DQ b DQ b DQ c DQ c WE DQ b DQ b V DD V DD V DD DQ d DQ d CLK DQ a DQ a DQ d DQ d BWS d BWS a DQ a DQ a DQ d CEN DQ a DQ d DQ d DQ a DQ a DQ d DP d DP a DQ a MODE V DD ZZ TMS TDI TCK TDO (4M 8) 7 7 BG DQ b DQ b DQ b DQ b CE 2 DV/LD CE 3 V DD DP a DQ b CE DQ a OE DQ a DQ b BWS b DQ a WE DQ a V DD V DD V DD DQ b CLK DQ a BWS a DQ a DQ b CEN DQ a DP b DQ a MODE V DD ZZ TMS TDI TCK TDO Document #: Rev. * Page 3 of 26

4 PRELIMINRY Pin Configurations (continued) 65-ball Bump FBG (This package is offered by opportunity basis) (2M 36) 5 FBG B C D E F G H J K L M N P R DPc DPd MODE CE BWS c BWS b CE 3 CEN CE 2 BWS d BWS a CLK WE V DD V DD V DD V DD V DD V DD V DD V DD V DD V DD TDI TDO TMS TCK 8 9 DV/LD OE 44M DPb V DD V DD V DD V DD V DD ZZ V DD V DD V DD V DD DPa (4M 8) 5 FBG B C D E F G H J K L M N P R DPb MODE CE BWS b CE 3 CEN CE 2 BWS a CLK WE V DD V DD V DD V DD V DD V DD V DD V DD V DD V DD TDI TDO TMS TCK 8 9 DV/LD OE 44M DPa V DD V DD V DD V DD V DD ZZ V DD V DD V DD V DD Document #: Rev. * Page 4 of 26

5 PRELIMINRY Pin Configurations (continued) 29-ball BG (This package is offered by opportunity basis) (M 72) B DQg DQg DQg DQg CE 2 DV/LD CE 3 BWS c BWS g WE BWS b BWS f C D E F G H J K L M N P R T U V W DQg DQg DPg DQh DQh DQh DQh DPd DQg DQg DPc DQh DQh DQh DQh DPh BWS h BWS d CLK CEN TMS TDI TDO TCK MODE BWS e BWS a V DD V DD V DD V DD V DD Q V DD V DD V DD V DD V DD CE OE V DD ZZ V DD V DD V DD DPf DQf DQf DQf DQf DPa DQe DQe DQe DQe DPb DQf DQf DQf DQf DPe DQe DQe DQe DQe Pin Definitions Pin Name I/O Type Pin Description BWS a BWS b BWS c BWS d BWS e BWS f BWS g BWS h WE DV/LD Input- Synchronous Input- Synchronous Input- Synchronous Input- Synchronous ddress Inputs used to select one of the 29752/49434/48576 address locations. Sampled at the rising edge of the CLK. Byte Write Select Inputs, active LOW. Qualified with WE to conduct writes to the SRM. Sampled on the rising edge of CLK. BWS a controls DQ a and DP a, BWS b controls DQ b and DP b, BWS c controls DQ c and DP c, BWS d controls DQ d and DP d. BWS e controls DQ e and DP e, BWS f controls DQ f and DP f, BWS g controls DQ g and DP g, and BWS h controls DQ h and DP h. Write Enable Input, active LOW. Sampled on the rising edge of CLK if CEN is active LOW. This signal must be asserted LOW to initiate a Write sequence. dvance/load input used to advance the on-chip address counter or load a new address. When HIGH (and CEN is asserted LOW), the internal burst counter is advanced. When LOW, a new address can be loaded into the device for an access. fter being deselected, DV/LD should be driven LOW in order to load a new address. Document #: Rev. * Page 5 of 26

6 PRELIMINRY Pin Definitions (continued) Pin Name I/O Type Pin Description CLK CE CE 2 CE 3 OE CEN DQ a DQ b DQ c DQ d DQ e DQ f DQ g DQ h DP a DP b DP c DP d DP e DP f DP g DP h ZZ Input- Clock Input- Synchronous Input- Synchronous Input- Synchronous Input- synchronous Input- Synchronous I/O- Synchronous I/O- Synchronous Input- synchronous Clock Input. Used to capture all synchronous inputs to the device. CLK is qualified with CEN. CLK is only recognized if CEN is active LOW. Chip Enable Input, active LOW. Sampled on the rising edge of CLK. Used in conjunction with CE 2 and CE 3 to select/deselect the device. Chip Enable 2 Input, active HIGH. Sampled on the rising edge of CLK. Used in conjunction with CE and CE 3 to select/deselect the device. Chip Enable 3 Input, active LOW. Sampled on the rising edge of CLK. Used in conjunction with CE and CE 2 to select/deselect the device. Output Enable, active LOW. Combined with the synchronous logic block inside the device to control the direction of the I/O pins. When LOW, the I/O pins are allowed to behave as outputs. When deasserted HIGH, I/O pins are three-stated, and act as input data pins. OE is masked during the data portion of a write sequence, during the first clock when emerging from a deselected state and when the device has been deselected. Clock Enable Input, active LOW. When asserted LOW the clock signal is recognized by the SRM. When deasserted HIGH the clock signal is masked. Since deasserting CEN does not deselect the device, CEN can be used to extend the previous cycle when required. Bidirectional Data I/O Lines. s inputs, they feed into an on-chip data register that is triggered by the rising edge of CLK. s outputs, they deliver the data contained in the memory location specified by [x:] during the previous clock rise of the read cycle. The direction of the pins is controlled by OE and the internal control logic. When OE is asserted LOW, the pins can behave as outputs. When HIGH, DQ a DQ d are placed in a three-state condition. The outputs are automatically three-stated during the data portion of a write sequence, during the first clock when emerging from a deselected state, and when the device is deselected, regardless of the state of OE. DQ a, b, c, d, e, f, g, and h are eight bits wide Bidirectional Data Parity I/O Lines. Functionally, these signals are identical to DQ [x:]. DP a, b, c, d, e, f, g, and h are one bit wide. ZZ sleep Input. This active HIGH input places the device in a non-time critical sleep condition with data integrity preserved. MODE Input Strap Pin Mode Input. Selects the burst order of the device. Tied HIGH selects the interleaved burst order. Pulled LOW selects the linear burst order. MODE should not change states during operation. When left floating MODE will default HIGH, to an interleaved burst order. V DD Power Supply Power supply inputs to the core of the device. I/O Power Supply Power supply for the I/O circuitry. Ground Ground for the device. Should be connected to ground of the system. TDO JTG serial output Serial data-out to the JTG circuit. Delivers data on the negative edge of TCK (BG only). Synchronous TDI JTG serial input Synchronous Serial data-in to the JTG circuit. Sampled on the rising edge of TCK (BG only). TMS TCK Test Mode Select Synchronous This pin controls the Test ccess Port state machine. Sampled on the rising edge of TCK (BG only). JTG serial clock Serial clock to the JTG circuit (BG only). 44M. This pin is reserved for expansion to 44 Mb. No connects. Document #: Rev. * Page 6 of 26

7 PRELIMINRY Introduction Functional Overview The // are synchronous-pipelined Burst NoBL SRMs designed specifically to eliminate wait states during Write/Read transitions. ll synchronous inputs pass through input registers controlled by the rising edge of the clock. The clock signal is qualified with the Clock Enable input signal (CEN). If CEN is HIGH, the clock signal is not recognized and all internal states are maintained. ll synchronous operations are qualified with CEN. ll data outputs pass through output registers controlled by the rising edge of the clock. Maximum access delay from the clock rise (t CO ) is 2.6 ns (25-MHz device). ccesses can be initiated by asserting Chip Enable (CE, CE 2, CE 3 on the TQFP, CE on the BG) active at the rising edge of the clock. If Clock Enable (CEN) is active LOW and DV/LD is asserted LOW, the address presented to the device will be latched. The access can either be a Read or Write operation, depending on the status of the Write Enable (WE). BWS [h:a] can be used to conduct byte write operations. Write operations are qualified by the Write Enable (WE). ll writes are simplified with on-chip synchronous self-timed write circuitry. Synchronous Chip Enable (CE, CE 2, CE 3 on TQFP, CE on BG) and an asynchronous Output Enable (OE) simplify depth expansion. ll operations (Reads, Writes, and Deselects) are pipelined. DV/LD should be driven LOW once the device has been deselected in order to load a new address for the next operation. Single Read ccesses read access is initiated when the following conditions are satisfied at clock rise: () CEN is asserted LOW, (2) chip enable asserted active, (3) the Write Enable input signal WE is deasserted HIGH, and (4) DV/LD is asserted LOW. The address presented to the address inputs is latched into the ddress Register and presented to the memory core and control logic. The control logic determines that a read access is in progress and allows the requested data to propagate to the input of the output register. t the rising edge of the next clock the requested data is allowed to propagate through the output register and onto the data bus within 2.6 ns (25-MHz device) provided OE is active LOW. fter the first clock of the read access the output buffers are controlled by OE and the internal control logic. OE must be driven LOW in order for the device to drive out the requested data. During the second clock, a subsequent operation (Read/Write/Deselect) can be initiated. Deselecting the device is also pipelined. Therefore, when the SRM is deselected at clock rise by one of the chip enable signals, its output will three-state following the next clock rise. Burst Read ccesses The // have an on-chip burst counter that allows the user the ability to supply a single address and conduct up to four Reads without reasserting the address inputs. DV/LD must be driven LOW in order to load a new address into the SRM, as described in the Single Read ccess section above. The sequence of the burst counter is determined by the MODE input signal. LOW input on MODE selects a linear burst mode, a HIGH selects an interleaved burst sequence. Both burst counters use and in the burst sequence, and will wraparound when incremented sufficiently. HIGH input on DV/LD will increment the internal burst counter regardless of the state of chip enable inputs or WE. WE is latched at the beginning of a burst cycle. Therefore, the type of access (Read or Write) is maintained throughout the burst sequence. Single Write ccesses Write access are initiated when the following conditions are satisfied at clock rise: () CEN is asserted LOW, (2) CE, CE 2, and CE 3 are LL asserted active, and (3) the Write signal WE is asserted LOW. The address presented to x is loaded into the ddress Register. The write signals are latched into the Control Logic block. On the subsequent clock rise the data lines are automatically three-stated regardless of the state of the OE input signal. This allows the external logic to present the data on DQ and DQP (DQ a,b,c,d,e,f,g,h /DP a,b,c,d,e,f,g,h for, DQ a,b,c,d / DP a,b,c,d for, and DQ a,b /DP a,b for ). In addition, the address for the subsequent access (Read/Write/Deselect) is latched into the ddress Register (provided the appropriate control signals are asserted). On the next clock rise the data presented to DQ and DP (DQ a,b,c,d,e,f,g,h /DP a,b,c,d,e,f,g,h for, DQ a,b,c,d / DP a,b,c,d for, and DQ a,b /DP a,b for ) (or a subset for byte write operations; see Write Cycle Description table for details) inputs is latched into the device and the write is complete. The data written during the Write operation is controlled by BWS (BWS a,b,c,d,e,f,g,h for, BWS a,b,c,d for, and BWS a,b for ) signals. The // provides byte write capability that is described in the Write Cycle Description table. sserting the Write Enable input (WE) with the selected Byte Write Select (BWS) input will selectively write only to the desired bytes. Bytes not selected during a byte write operation will remain unaltered. Synchronous self-timed write mechanism has been provided to simplify the write operations. Byte write capability has been included in order to greatly simplify Read/Modify/Write sequences, which can be reduced to simple byte write operations. Because the // is a common I/O device, data should not be driven into the device while the outputs are active. The Output Enable (OE) can be deasserted HIGH before presenting data to the DQ and DP (DQ a,b,c,d,e,f,g,h /DP a,b,c,d,e,f,g,h for, DQ a,b,c,d /DP a,b,c,d for, and DQ a,b /DP a,b for ) inputs. Doing so will three-state the output drivers. s a safety precaution, DQ and DP (DQ a,b,c,d,e,f,g,h / DP a,b,c,d,e,f,g,h for, DQ a,b,c,d /DP a,b,c,d for, and DQ a,b /DP a,b for ) are automatically three-stated during the data portion of a Write cycle, regardless of the state of OE. Burst Write ccesses The // has an on-chip burst counter that allows the user the ability to supply a single address and conduct up to four Write operations without reasserting the address inputs. DV/LD must be driven LOW in order to load the initial address, as described in the Single Write ccess section above. When DV/LD is driven HIGH on the subsequent clock rise, the chip enables (CE, CE 2, and CE 3 ) and WE inputs are ignored and the burst counter is incremented. The correct BWS (BWS a,b,c,d,e,f,g,h for, BWS a,b,c,d for, and BWS a,b for ) inputs must be driven in each cycle of the burst write in order to write the correct bytes of data. Document #: Rev. * Page 7 of 26

8 PRELIMINRY Cycle Description Truth Table [, 2, 3, 4, 5, 6] Operation ddress Used CE CEN DV/LD/ WE BWS x CLK Comments Deselected External X X L-H I/Os three-state following next recognized clock. Suspend X X X X L-H Clock ignored, all operations suspended. Begin Read External X L-H ddress latched. Begin Write External Valid L-H ddress latched, data presented two valid clocks later. Burst Read Operation Burst Write Operation Internal X X X L-H Burst Read operation. Previous access was a Read operation. ddresses incremented internally in conjunction with the state of Mode. Internal X X Valid L-H Burst Write operation. Previous access was a Write operation. ddresses incremented internally in conjunction with the state of MODE. Bytes written are determined by BWS [h:a]. Interleaved Burst Sequence First ddress Second ddress Third ddress Fourth ddress [:] [:] [:] [:] Linear Burst Sequence First ddress Second ddress Third ddress Fourth ddress [:] [:] [:] [:] ZZ Mode Electrical Characteristics Sleep Mode The ZZ input pin is an asynchronous input. sserting ZZ places the SRM in a power conservation sleep mode. Two clock cycles are required to enter into or exit from this sleep mode. While in this mode, data integrity is guaranteed. ccesses pending when entering the sleep mode are not considered valid nor is the completion of the operation guaranteed. The device must be deselected prior to entering the sleep mode. CEs must remain inactive for the duration of t ZZREC after the ZZ input returns LOW. Parameter Description Test Conditions Min. Max. Unit I DDZZ Snooze mode standby current ZZ > V DD.2V 5 m t ZZS Device operation to ZZ ZZ > V DD.2V 2t CYC ns t ZZREC ZZ recovery time ZZ <.2V 2t CYC ns Write Cycle Descriptions [, 2] Function () WE BWS d BWS c BWS b BWS a Read X X X X Write No Bytes Written Write Byte (DQ a and DP a) Notes:. X = Don't Care, = Logic HIGH, = Logic LOW, CE stands for LL Chip Enables active. BWS x = signifies at least one Byte Write Select is active, BWS x = Valid signifies that the desired byte write selects are asserted. See Write Cycle Description table for details. 2. Write is defined by WE and BWS x. See Write Cycle Description table for details. 3. The DQ and DP pins are controlled by the current cycle and the OE signal. 4. CEN = inserts wait states. 5. Device will power-up deselected and the I/Os in a three-state condition, regardless of OE. 6. OE assumed LOW. Document #: Rev. * Page 8 of 26

9 PRELIMINRY Write Cycle Descriptions (continued)[, 2] Function () WE BWS d BWS c BWS b BWS a Write Byte (DQ b and DP b) Write Bytes, Write Byte 2 (DQ c and DP c) Write Bytes 2, Write Bytes 2, Write Bytes 2,, Write Byte 3 (DQ d and DP d) Write Bytes 3, Write Bytes 3, Write Bytes 3,, Write Bytes 3, 2 Write Bytes 3, 2, Write Bytes 3, 2, Write ll Bytes Function () WE BWS b BWS a Read x x Write No Bytes Written Write Byte (DQ a and DP a) Write Byte (DQ b and DP b) Write Both Bytes Function () [7] WE BWS x Read x Write Byte X Write ll Bytes ll BWS = IEEE 49. Serial Boundary Scan (JTG) The // incorporates a serial boundary scan Test ccess Port (TP) in the BG package only. The TQFP package does not offer this functionality. This port operates in accordance with IEEE Standard 49.-9, but does not have the set of functions required for full 49. compliance. These functions from the IEEE specification are excluded because their inclusion places an added delay in the critical speed path of the SRM. Note that the TP controller functions in a manner that does not conflict with the operation of other devices using fully compliant 49. TPs. The TP operates using JEDEC standard 3.3V I/O logic levels. Disabling the JTG Feature It is possible to operate the SRM without using the JTG feature. To disable the TP controller, TCK must be tied LOW ( ) to prevent clocking of the device. TDI and TMS are internally pulled up and may be unconnected. They may alternately be connected to V DD through a pull-up resistor. TDO should be left unconnected. Upon power-up, the device will come up in a reset state which will not interfere with the operation of the device. Test ccess Port Test Clock The test clock is used only with the TP controller. ll inputs are captured on the rising edge of TCK. ll outputs are driven from the falling edge of TCK. Test Mode Select The TMS input is used to give commands to the TP controller and is sampled on the rising edge of TCK. It is allowable to leave this pin unconnected if the TP is not used. The pin is pulled up internally, resulting in a logic HIGH level. Note: 7. BWSx represents any byte write signal BW[..7]. To enable any byte write BWSx, a low logic signal should be applied at clock rise. ny number of byte writes can be enabled at the same time for any given write. Document #: Rev. * Page 9 of 26

10 PRELIMINRY Test Data-In (TDI) The TDI pin is used to serially input information into the registers and can be connected to the input of any of the registers. The register between TDI and TDO is chosen by the instruction that is loaded into the TP instruction register. For information on loading the instruction register, see the TP Controller State Diagram. TDI is internally pulled up and can be unconnected if the TP is unused in an application. TDI is connected to the Most Significant Bit (MSB) on any register. Test Data-Out (TDO) The TDO output pin is used to serially clock data-out from the registers. The output is active depending upon the current state of the TP state machine (see TP Controller State Diagram). The output changes on the falling edge of TCK. TDO is connected to the Least Significant Bit (LSB) of any register. Performing a TP Reset Reset is performed by forcing TMS HIGH (V DD ) for five rising edges of TCK. This RESET does not affect the operation of the SRM and may be performed while the SRM is operating. t power-up, the TP is reset internally to ensure that TDO comes up in a High-Z state. TP Registers Registers are connected between the TDI and TDO pins and allow data to be scanned into and out of the SRM test circuitry. Only one register can be selected at a time through the instruction registers. Data is serially loaded into the TDI pin on the rising edge of TCK. Data is output on the TDO pin on the falling edge of TCK. Instruction Register Three-bit instructions can be serially loaded into the instruction register. This register is loaded when it is placed between the TDI and TDO pins as shown in the TP Controller Block Diagram. Upon power-up, the instruction register is loaded with the IDCODE instruction. It is also loaded with the IDCODE instruction if the controller is placed in a reset state as described in the previous section. When the TP controller is in the CaptureIR state, the two least significant bits are loaded with a binary pattern to allow for fault isolation of the board level serial test path. Bypass Register To save time when serially shifting data through registers, it is sometimes advantageous to skip certain states. The bypass register is a single-bit register that can be placed between TDI and TDO pins. This allows data to be shifted through the SRM with minimal delay. The bypass register is set LOW ( ) when the BYPSS instruction is executed. Boundary Scan Register The boundary scan register is connected to all the input and output pins on the SRM. Several no connect () pins are also included in the scan register to reserve pins for higher density devices. The 36 configuration has a 7-bit-long register, and the 8 configuration has a 5-bit-long register. The boundary scan register is loaded with the contents of the RM Input and Output ring when the TP controller is in the Capture-DR state and is then placed between the TDI and TDO pins when the controller is moved to the Shift-DR state. The EXTEST, SMPLE/PRELOD and SMPLE Z instructions can be used to capture the contents of the Input and Output ring. The Boundary Scan Order tables show the order in which the bits are connected. Each bit corresponds to one of the bumps on the SRM package. The MSB of the register is connected to TDI, and the LSB is connected to TDO. Identification (ID) Register The ID register is loaded with a vendor-specific, 32-bit code during the Capture-DR state when the IDCODE command is loaded in the instruction register. The IDCODE is hardwired into the SRM and can be shifted out when the TP controller is in the Shift-DR state. The ID register has a vendor code and other information described in the Identification Register Definitions table. TP Instruction Set Eight different instructions are possible with the three-bit instruction register. ll combinations are listed in the Instruction Code table. Three of these instructions are listed as RESERVED and should not be used. The other five instructions are described in detail below. The TP controller used in this SRM is not fully compliant with the 49. convention because some of the mandatory 49. instructions are not fully implemented. The TP controller cannot be used to load address, data, or control signals into the SRM and cannot preload the Input or Output buffers. The SRM does not implement the 49. commands EXTEST or INTEST or the PRELOD portion of SMPLE/PRELOD; rather it performs a capture of the Inputs and Output ring when these instructions are executed. Instructions are loaded into the TP controller during the Shift-IR state when the instruction register is placed between TDI and TDO. During this state, instructions are shifted through the instruction register through the TDI and TDO pins. To execute the instruction once it is shifted in, the TP controller needs to be moved into the Update-IR state. EXTEST EXTEST is a mandatory 49. instruction that is to be executed whenever the instruction register is loaded with all s. EXTEST is not implemented in the TP controller, and therefore this device is not compliant with the 49. standard. The TP controller does recognize an all- instruction. When an EXTEST instruction is loaded into the instruction register, the SRM responds as if a SMPLE/PRELOD instruction has been loaded. There is one difference between the two instructions. Unlike the SMPLE/PRELOD instruction, EXTEST places the SRM outputs in a High-Z state. IDCODE The IDCODE instruction causes a vendor-specific, 32-bit code to be loaded into the instruction register. It also places the instruction register between the TDI and TDO pins and allows the IDCODE to be shifted out of the device when the TP controller enters the Shift-DR state. The IDCODE instruction is loaded into the instruction register upon power-up or whenever the TP controller is given a test logic reset state. Document #: Rev. * Page of 26

11 PRELIMINRY SMPLE Z The SMPLE Z instruction causes the boundary scan register to be connected between the TDI and TDO pins when the TP controller is in a Shift-DR state. It also places all SRM outputs into a High-Z state. SMPLE/PRELOD SMPLE/PRELOD is a 49. mandatory instruction. The PRELOD portion of this instruction is not implemented, so the TP controller is not fully 49.-compliant. When the SMPLE/PRELOD instructions are loaded into the instruction register and the TP controller is in the Capture-DR state, a snapshot of data on the inputs and output pins is captured in the boundary scan register. The user must be aware that the TP controller clock can only operate at a frequency up to MHz, while the SRM clock operates more than an order of magnitude faster. Because there is a large difference in the clock frequencies, it is possible that during the Capture-DR state, an input or output will undergo a transition. The TP may then try to capture a signal while in transition (metastable state). This will not harm the device, but there is no guarantee as to the value that will be captured. Repeatable results may not be possible. To guarantee that the boundary scan register will capture the correct value of a signal, the SRM signal must be stabilized long enough to meet the TP controller s capture set-up plus hold times (t CS and t CH ). The SRM clock input might not be captured correctly if there is no way in a design to stop (or slow) the clock during a SMPLE/PRELOD instruction. If this is an issue, it is still possible to capture all other signals and simply ignore the value of the CK and CK# captured in the boundary scan register. Once the data is captured, it is possible to shift out the data by putting the TP into the Shift-DR state. This places the boundary scan register between the TDI and TDO pins. Note that since the PRELOD part of the command is not implemented, putting the TP into the Update to the Update-DR state while performing a SMPLE/PRELOD instruction will have the same effect as the Pause-DR command. Bypass When the BYPSS instruction is loaded in the instruction register and the TP is placed in a Shift-DR state, the bypass register is placed between the TDI and TDO pins. The advantage of the BYPSS instruction is that it shortens the boundary scan path when multiple devices are connected together on a board. Reserved These instructions are not implemented but are reserved for future use. Do not use these instructions. Document #: Rev. * Page of 26

12 PRELIMINRY TP Controller State Diagram [8] TEST-LOGIC RESET TEST-LOGIC/ IDLE SELECT DR-SCN SELECT IR-SCN CPTURE-DR CPTURE-DR SHIFT-DR SHIFT-IR EXIT-DR EXIT-IR PUSE-DR PUSE-IR EXIT2-DR EXIT2-IR UPDTE-DR UPDTE-IR Note: 8. The / next to each state represents the value at TMS at the rising edge of TCK. Document #: Rev. * Page 2 of 26

13 PRELIMINRY TP Controller Block Diagram Bypass Register TDI Selection Circuitry 2 Instruction Register Selection Circuitry TDO Identification Register Boundary Scan Register TCK TMS TP Controller TP Electrical Characteristics Over the Operating Range [9, ] Parameter Description Test Conditions Min. Max. Unit V OH Output HIGH Voltage I OH = 4. m 2.4 V V OH2 Output HIGH Voltage I OH = µ 3. V V OL Output LOW Voltage I OL = 8. m.4 V V OL2 Output LOW Voltage I OL = µ.2 V V IH Input HIGH Voltage.8 V DD +.3 V V IL Input LOW Voltage.5.8 V I X Input Load Current GND < V I < 5 5 µ [, 2] TP C Switching Characteristics Over the Operating Range Parameter Description Min. Max. Unit t TCYC TCK Clock Cycle Time ns t TF TCK Clock Frequency MHz t TH TCK Clock HIGH 4 ns t TL TCK Clock LOW 4 ns Set-up Times t TMSS TMS Set-up to TCK Clock Rise ns t TDIS TDI Set-up to TCK Clock Rise ns t CS Capture Set-up to TCK Rise ns Notes: 9. ll voltage referenced to Ground.. Overshoot: V IH (C) < V DD +.5V for t < t TCYC /2; undershoot: V IL (C) <.5V for t < t TCYC /2; power-up: V IH < 2.6V and V DD < 2.4V and <.4V for t < 2 ms.. t CS and t CH refer to the set-up and hold time requirements of latching data from the boundary scan register. 2. Test conditions are specified using the load in TP C test conditions. t R /t F = ns. Document #: Rev. * Page 3 of 26

14 PRELIMINRY TP C Switching Characteristics Over the Operating Range (continued)[, 2] Parameter Description Min. Max. Unit Hold Times t TMSH TMS Hold after TCK Clock Rise ns t TDIH TDI Hold after Clock Rise ns t CH Capture Hold after Clock Rise ns Output Times t TDOV TCK Clock LOW to TDO Valid 2 ns t TDOX TCK Clock LOW to TDO Invalid ns TP Timing and Test Conditions.25V TDO Z = 5Ω 5Ω C L = 2 pf V Vih LL INPUT PULSES (a) GND t TH t TL Test Clock TCK t TCYC t TMSS t TMSH Test Mode Select TMS t TDIS t TDIH Test Data-In TDI Test Data-Out TDO t TDOV ttdox Document #: Rev. * Page 4 of 26

15 PRELIMINRY Identification Register Definitions Instruction Field 8 36 X72 Description Revision Number (3:29) Reserved for version number Department Number (27:25) Department number Voltage (28&24) rchitecture (23:2) rchitecture type Memory type (2:8) Defines type of memory Device Width (7:5) Defines width of the SRM. 36 or 8 Device Density (4:2) Defines the density of the SRM Cypress JEDEC ID (:) llows unique identification of SRM vendor ID Register Presence () Indicate the presence of an ID register. Scan Register Sizes Register Name Bit Size (x8) Bit Size (x36) Bit Size (x72) Instruction Bypass ID Boundary Scan TBD TBD TBD Identification Codes Instruction Code Description EXTEST Captures the Input/Output ring contents. Places the boundary scan register between the TDI and TDO. Forces all SRM outputs to High-Z state. This instruction is not 49.-compliant. IDCODE Loads the ID register with the vendor ID code and places the register between TDI and TDO. This operation does not affect SRM operation. SMPLE Z Captures the Input/Output contents. Places the boundary scan register between TDI and TDO. Forces all SRM output drivers to a High-Z state. RESERVED Do Not Use. This instruction is reserved for future use. SMPLE/PRELOD Captures the Input/Output ring contents. Places the boundary scan register between TDI and TDO. Does not affect the SRM operation. This instruction does not implement 49. preload function and is therefore not 49.-compliant. RESERVED Do Not Use. This instruction is reserved for future use. RESERVED Do Not Use. This instruction is reserved for future use. BYPSS Places the bypass register between TDI and TDO. This operation does not affect SRM operation. Document #: Rev. * Page 5 of 26

16 PRELIMINRY Boundary Scan Order (2M 36) Boundary Scan Order (4M 8) Document #: Rev. * Page 6 of 26

17 PRELIMINRY Maximum Ratings (bove which the useful life may be impaired. For user guidelines, not tested.) Storage Temperature C to +5 C mbient Temperature with Power pplied C to +25 C Supply Voltage on V DD Relative to GND....5V to +4.6V DC Voltage pplied to Outputs in High-Z State [4]....5V to +.5V DC Input Voltage [4]....5V to +.5V Current into Outputs (LOW)... 2 m Static Discharge Voltage... > 2V (per MIL-STD-883, Method 35) Latch-up Current... > 2 m Operating Range Range mbient Temperature [3] V DD Com l C to +7 C 3.3V ±5% 2.375V (min.) V DD (max) Electrical Characteristics Over the Operating Range Parameter Description Test Conditions Min. Max. Unit V DD Power Supply Voltage V I/O Supply Voltage V DD V V OH Output HIGH Voltage V DD = Min., I OH = 4. m 3.3V 2.4 V V DD = Min., I OH =. m 2.5V 2. V V OL Output LOW Voltage V DD = Min., I OL = 8. m 3.3V.4 V V DD = Min., I OL =. m 2.5V.4 V V IH Input HIGH Voltage 3.3 V 2. V 2.5V.7 V V IL Input LOW Voltage [4] 3.3V.3.8 V 2.5V.3.7 V I X Input Load Current GND < V I < 5 µ Input Current of MODE 3 µ Input Current of ZZ Input = 3 µ I OZ Output Leakage Current GND < V I <, Output Disabled 5 µ 25 MHz TBD m I DD V DD Operating Supply V DD = Max., I OUT = m, f = f MX = /t CYC 2 MHz TBD m 67 MHz TBD m I SB I SB2 I SB3 I SB4 utomatic CE Power-down Current TTL Inputs utomatic CE Power-down Current CMOS Inputs utomatic CE Power-down Current CMOS Inputs utomatic CE Power-down Current TTL Inputs Max. V DD, Device Deselected, V IN > V IH or V IN < V IL f = f MX = /t CYC Max. V DD, Device Deselected, V IN <.3V or V IN >.3V, f = Max. V DD, Device Deselected, or V IN <.3V or V IN >.3V f = f MX = /t CYC Max. V DD, Device Deselected, V IN > V IH or V IN < V IL, f = Shaded areas contain advance information. Notes: 3. T is the ambient temperature. 4. Minimum voltage equals 2.V for pulse durations of less than 2 ns. 25 MHz TBD m 2 MHz TBD m 67 MHz TBD m ll speed grades TBD m 25 MHz TBD m 2 MHz TBD m 67 MHz TBD m ll speed grades TBD m Document #: Rev. * Page 7 of 26

18 PRELIMINRY Capacitance [6] Parameter Description Test Conditions Max. Unit C IN Input Capacitance T = 25 C, f = MHz, TBD pf C CLK Clock Input Capacitance V DD = = 3.3V TBD pf C I/O Input/Output Capacitance TBD pf C Test Loads and Waveforms OUTPUT Z = 5Ω (a) Thermal Resistance [6] R L = 5Ω R = 37Ω LL INPUT PULSES [5] OUTPUT V L =.5V for 3.3V =.25V for 2.5V 5pF ILUDING JIG ND SCOPE (b) % GND R = 35Ω Rise Time: 2 V/ns Parameter Description Test Conditions BG Typ. TQFP Typ. Units Q J Thermal Resistance Still ir, soldered on a inch, TBD TBD C/W (Junction to mbient) four-layer printed circuit board Q JC Thermal Resistance (Junction to Case) TBD TBD C/W Switching Characteristics (Over the Operating Range) Parameter Clock Description 3.3V 9% (c) Min. Max. Min. Max. Min. Max. 9% % Fall Time: 2 V/ns t CYC Clock Cycle Time ns F MX Maximum Operating Frequency MHz t CH Clock HIGH ns t CL Clock LOW ns Output Times t CO Data Output Valid fter CLK Rise ns t EOV OE LOW to Output Valid [6, 8, 2] ns t DOH Data Output Hold fter CLK Rise..3.5 ns t CHZ Clock to High-Z [6, 7, 8, 9, 2] ns t CLZ Clock to Low-Z [6, 7, 8, 9, 2]..3.5 ns t EOHZ OE HIGH to Output High-Z [7, 8, 2] ns t EOLZ OE LOW to Output Low-Z [7, 8, 2] ns Set-up Times t S ddress Set-up Before CLK Rise.5 ns t DS Data Input Set-up Before CLK Rise ns t CENS CEN Set-Up Before CLK Rise ns t WES WE, BWS x Set-up Before CLK Rise ns Notes: 5. Input waveform should have a slew rate of 2V/ns. 6. Tested initially and after any design or process change that may affect these parameters. 7. Unless otherwise noted, test conditions assume signal transition time of.5ns, timing reference levels of.5v, input pulse levels of to 3.3V, and output loading of the specified I OL /I OH and load capacitance. Shown in (a), (b) and (c) of C Test Loads. 8. t CHZ, t CLZ, t OEV, t EOLZ, and t EOHZ are specified with C test conditions shown in part (a) of C Test Loads. Transition is measured ± 2 mv from steady-state voltage. 9. t any given voltage and temperature, t EOHZ is less than t EOLZ and t CHZ is less than t CLZ to eliminate bus contention between SRMs when sharing the same data bus. These specifications do not imply a bus contention condition, but reflect parameters guaranteed over worst case user conditions. Device is designed to achieve High-Z prior to Low-Z under the same system conditions. 2. This parameter is sampled and not % tested. Unit Document #: Rev. * Page 8 of 26

19 PRELIMINRY Switching Characteristics (Over the Operating Range) (continued) Parameter Description Min. Max. Min. Max. Min. Max. Unit t LS DV/LD Set-up Before CLK Rise ns t CES Chip Select Set-up ns Hold Times t H ddress Hold fter CLK Rise ns t DH Data Input Hold fter CLK Rise ns t CENH CEN Hold fter CLK Rise ns t WEH WE, BW x Hold fter CLK Rise ns t LH DV/LD Hold after CLK Rise ns t CEH Chip Select Hold fter CLK Rise ns Shaded area contains advanced information. Switching Waveforms Read/Write/DESELECT Sequence Read Write Read DESELECT Read Write Read Read SUSPEND DESELECT DESELECT CLK CEN t CH t CL t CYC t CENS t CENH t S t H CEN HIGH blocks all synchronous inputs DDRESS R W2 R3 R4 W5 R6 R7 WE and BWS x t WS t WH t CES t CEH CE Data In/Out t DS t DH Q D2 Out In Q3 Out t CLZ t DOH t CHZ t DOH Q4 Out Device originally t CO deselected The combination of WE and BWS x (x = a, b, c, d, e, f, g, h for x72, x = a, b, c, d for x36 and x = a, b for x8) defines a write cycle (see Write Cycle Description table). CE is the combination of CE, CE 2, and CE 3. ll chip enables need to be active in order to select the device. ny chip enable can deselect the device. Rx stands for Read ddress X, Wx stands for Write ddress X, Dx stands for Data-in for location X, Qx stands for Data-out for location X. DV/LD held LOW. OE held LOW. = DON T CRE = UNDEFINED D5 In Q6 Out Q7 Out t CHZ Document #: Rev. * Page 9 of 26

20 PRELIMINRY Switching Waveforms (continued) Burst Sequences Begin Read Burst Read Burst Read Burst Read Begin Write Burst Write Burst Write Burst Write Begin Read Burst Read Burst Read CLK t LS t LH t CH t CL t CYC DV/LD t S t H DDRESS R W2 R3 WE t WS t WH t WS t WH BWS x t CES t CEH CE Data In/Out t CLZ Device originally deselected t CO t DOH Q Out t CO Q+ Out Q+2 Out The combination of WE and BWS x (x = a, b, c, d, e, f, g, h for x72, x = a, b, c, d for x36 and x = a, b for x8) define a write cycle (see Write Cycle Description table). CE is the combination of CE, CE 2, and CE 3. ll chip enables need to be active in order to select the device. ny chip enable can deselect the device. Rx stands for Read ddress X, W stands for Write ddress X, Dx stands for Data-in for location X, Qx stands for Data-out for location X. CEN held LOW. During burst writes, byte writes can be conducted by asserting the appropriate BWS x input signals. Burst order determined by the state of the MODE input. CEN held LOW. OE held LOW. Q+3 Out t CHZ t DS D2 In = DON T CRE = UNDEFINED t DH D2+ In D2+2 In t CLZ D2+3 In Q3 Out Document #: Rev. * Page 2 of 26

21 PRELIMINRY Switching Waveforms (continued) OE Timing OE t EOHZ t EOV I/Os Three-state t EOLZ Ordering Information Speed (MHz) Ordering Code 25-25C -25C -25BGC -25BGC -25BZC -25BZC Package Operating Name Package Type Range -lead mm Thin Quad Flat Pack Commercial BG9 BB65C 9-ball BG ( mm) 65-ball FBG (5 7 mm) -25BGC BG29 29-ball BG ( mm) 2-2C -2C -2BGC -2BGC -2BZC -2BZC BG9 BB65C -lead mm Thin Quad Flat Pack 9-ball BG ( mm) 65-ball FBG (5 7 mm) -2BGC BG29 29-ball BG ( mm) 67-67C -67C -67BGC -67BGC -67BZC -67BZC BG9 BB65C -lead mm Thin Quad Flat Pack 9-ball BG ( mm) 65-ball FBG (5 7 mm) -67BGC BG29 29-ball BG ( mm) Shaded area contains advanced information. Document #: Rev. * Page 2 of 26

22 PRELIMINRY Package Diagrams -pin Thin Plastic Quad Flatpack (4 x 2 x.4 mm) Document #: Rev. * Page 22 of 26

23 PRELIMINRY Package Diagrams (continued) 65-ball FBG (5 7.2 mm) BB65C ** Document #: Rev. * Page 23 of 26

24 PRELIMINRY Package Diagrams (continued) 9-Lead PBG (4 x 22 x 2.4 mm) BG *B Document #: Rev. * Page 24 of 26

25 PRELIMINRY Package Diagrams (continued) 29-Lead PBG (4 x 22 x 2.2 mm) BG *B Zero Bus Latency, No Bus Latency, and NoBL are trademarks of Cypress Semiconductor Corporation. ll product and company names mentioned in this document are the trademarks of their respective holders. Document #: Rev. * Page 25 of 26 Cypress Semiconductor Corporation, 23. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a Cypress Semiconductor product. Nor does it convey or imply any license under patent or other rights. Cypress Semiconductor does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress Semiconductor products in life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress Semiconductor against all charges.

26 PRELIMINRY Document History Page Document Title: // 2M x 36/4M x 8/M x 72 Pipelined SRM with NoBL rchitecture Document Number: REV. ECN NO. ISSUE DTE ORIG. OF CHNGE DESCRIPTION OF CHNGE ** /6/2 PKS New Data Sheet * 252 /27/3 CJM Updated features for package offering Removed 3MHz offering Changed tco, teov, tchz, teohz from 2.4 ns to 2.6 ns (25 MHz), tdoh, tclz from.8 ns to. ns (25 MHz), tdoh, tclz from. ns to.3 ns (2 MHz) Updated ordering information Changed dvanced Information to Preliminary Document #: Rev. * Page 26 of 26

128K x 36 Synchronous-Pipelined Cache RAM

128K x 36 Synchronous-Pipelined Cache RAM 1CY7C1347 Features Supports 100-MHz bus for Pentium and PowerPC operations with zero wait states Fully registered inputs and outputs for pipelined operation 128K by 36 common I/O architecture 3.3V core

More information

256K x 18 Synchronous 3.3V Cache RAM

256K x 18 Synchronous 3.3V Cache RAM Features Supports 117-MHz microprocessor cache systems with zero wait states 256K by 18 common I/O Fast clock-to-output times 7.5 ns (117-MHz version) Two-bit wrap-around counter supporting either interleaved

More information

4-Mb (256K x 18) Pipelined Sync SRAM

4-Mb (256K x 18) Pipelined Sync SRAM 4-Mb (256K x 18) Pipelined Sync SRM Features Registered inputs and outputs for pipelined operation 256K 18 common I/O architecture 3.3V core power supply 3.3V / 2.5V I/O operation Fast clock-to-output

More information

9-Mbit (256K 36/512K 18) Flow-Through SRAM with NoBL Architecture

9-Mbit (256K 36/512K 18) Flow-Through SRAM with NoBL Architecture 9-Mbit (256K 36/52K 8) Flow-Through SRM with NoBL rchitecture 9-Mbit (256K 36/52K 8) Flow-Through SRM with NoBL rchitecture Features No Bus Latency (NoBL ) architecture eliminates dead cycles between write

More information

18-Mbit (512K 36/1M 18) Pipelined SRAM

18-Mbit (512K 36/1M 18) Pipelined SRAM 18-Mbit (512K 36/1M 18) Pipelined SRAM 18-Mbit (512K 36/1M 18) Pipelined SRAM Features Functional Description Supports bus operation up to 250 MHz Available speed grades are 250, 200, and 167 MHz Registered

More information

72-Mbit (2M 36) Pipelined Sync SRAM

72-Mbit (2M 36) Pipelined Sync SRAM 72-Mbit (2M 36) Pipelined Sync SRM 72-Mbit (2M 36) Pipelined Sync SRM Features Supports bus operation up to 250 MHz vailable speed grades are 250, 200, and 67 MHz Registered inputs and outputs for pipelined

More information

9-Mbit (256 K 32) Pipelined Sync SRAM

9-Mbit (256 K 32) Pipelined Sync SRAM 9-Mbit (256 K 32) Pipelined Sync SRAM 9-Mbit (256 K 32) Pipelined Sync SRAM Features Registered inputs and outputs for pipelined operation 256 K 32 common I/O architecture 3.3 V core power supply (V DD

More information

CY7C25632KV18 CY7C25652KV18

CY7C25632KV18 CY7C25652KV18 72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) with ODT 72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) with ODT Features Separate independent read

More information

Aeroflex Colorado Springs Application Note

Aeroflex Colorado Springs Application Note Synchronous SRAM (SSRAM) JTAG Operation Table : Cross Reference of Applicable Products Product Name: Manufacturer Part Number SMD # Device Type Internal PIC #. Overview 64Mbit Synchronous SRAM UT8SP2M32

More information

4-Mbit (512K x 8) Static RAM

4-Mbit (512K x 8) Static RAM 4-Mbit (512K x 8) Static RAM Features Temperature Ranges Commercial: 0 C to 70 C Industrial: 40 C to 85 C Automotive: 40 C to 125 C High speed t AA = 10 ns Low active power 324 mw (max.) 2.0V data retention

More information

I/O 0 I/O 7 WE CE 2 OE CE 1 A17 A18

I/O 0 I/O 7 WE CE 2 OE CE 1 A17 A18 2M x 8 Static RAM Features High speed t AA = 8, 10, 12 ns Low active power 1080 mw (max.) Operating voltages of 3.3 ± 0.3V 2.0V data retention Automatic power-down when deselected TTL-compatible inputs

More information

2-Mbit (128K x 16) Static RAM

2-Mbit (128K x 16) Static RAM 2-Mbit (128K x 16) Static RAM Features Temperature Ranges Industrial: 40 C to 85 C Automotive-A: 40 C to 85 C Automotive-E: 40 C to 125 C High speed: 55 ns Wide voltage range: 2.7V 3.6V Ultra-low active,

More information

12-Mbit (512 K 24) Static RAM

12-Mbit (512 K 24) Static RAM 12-Mbit (512 K 24) Static RAM Features High speed t AA = 10 ns Low active power I CC = 175 ma at 10 ns Low CMOS standby power I SB2 = 25 ma Operating voltages of 3.3 ± 0.3V 2.0V data retention Automatic

More information

1-Mbit (64K x 16) Static RAM

1-Mbit (64K x 16) Static RAM 1-Mbit (64K x 16) Static RAM Features Temperature Ranges Commercial: 0 C to 70 C Industrial: 40 C to 85 C Automotive: 40 C to 125 C High speed t AA = 12 ns (Commercial & Industrial) t AA = 15 ns (Automotive)

More information

A 4 A 3 A 2 ROW DECODER 64K x 16 RAM Array I/O 1 I/O X 2048 I/O 9 I/O 16

A 4 A 3 A 2 ROW DECODER 64K x 16 RAM Array I/O 1 I/O X 2048 I/O 9 I/O 16 64K x 16 Static RAM Features Pin- and function-compatible with CY7C1021BV33 High speed t AA = 8, 10, 12, and 15 ns CMOS for optimum speed/power Low active power 360 mw (max.) Data retention at 2.0V Automatic

More information

64K x 32 Static RAM Module

64K x 32 Static RAM Module 31 CYM1831 Features High-density 2-Mbit SRAM module 32-bit standard footprint supports densities from 16K x 32 through 1M x 32 High-speed CMOS SRAMs Access time of 15 ns Low active power 5.3W (max.) SMD

More information

2K x 16 Dual-Port Static RAM

2K x 16 Dual-Port Static RAM 2K x 16 Dual-Port Static RAM Features True dual-ported memory cells which allow simultaneous reads of the same memory location 2K x 16 organization 0.65-micron CMOS for optimum speed/power High-speed access:

More information

72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency)

72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) 72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) 72-QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) Features Separate independent read and write data ports

More information

1-Mbit (64K x 16) Static RAM

1-Mbit (64K x 16) Static RAM 1-Mbit (64K x 16) Static RAM Features Temperature ranges Commercial: 0 C to 70 C Industrial: 40 C to 85 C Automotive-A: 40 C to 85 C Automotive-E: 40 C to 125 C Pin and function compatible with CY7C1021BV33

More information

A67L1618/A67L0636 Series

A67L1618/A67L0636 Series 2M X 18, 1M X 36 LVTTL, Pipelined ZeBL TM SRAM Document Title 2M X 16, 1M X 36 LVTTL, Pipelined ZeBL TM SRAM Revision History Rev. No. History Issue Date Remark 0.0 Initial issue July 26, 2004 Preliminary

More information

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset 1CY 225 7 fax id: 3517 Features Multiple clock outputs to meet requirements of ALI Aladdin chipset Six CPU clocks @ 66.66 MHz, 60 MHz, and 50 MHz, pin selectable Six PCI clocks (CPUCLK/2) Two Ref. clocks

More information

72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency)

72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) 72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) 72-Mbit QDR II+ SRAM Four-Word Burst Architecture (2.5 Cycle Read Latency) Features Separate Independent Read and Write Data Ports

More information

AN1090. NoBL : The Fast SRAM Architecture. Introduction. NoBL SRAM Description. Abstract. NoBL SRAM Operation

AN1090. NoBL : The Fast SRAM Architecture. Introduction. NoBL SRAM Description. Abstract. NoBL SRAM Operation AN1090 NoBL : The Fast SRAM Architecture Associated Project: No Associated Part Family: All NoBL SRAMs Software Version: None Related Application Notes: None Abstract AN1090 describes the operation of

More information

4-Mbit (256K x 16) Static RAM

4-Mbit (256K x 16) Static RAM 4-Mbit (256K x 16) Static RAM Features Temperature ranges Commercial: 0 C to 70 C Industrial: 40 C to 85 C Automotive-A: 40 C to 85 C Automotive-E: 40 C to 125 C Pin and function compatible with CY7C1041BV33

More information

1 Megabit Serial Flash EEPROM SST45LF010

1 Megabit Serial Flash EEPROM SST45LF010 EEPROM FEATURES: Single.0-.V Read and Write Operations Serial Interface Architecture SPI Compatible: Mode 0 and Mode Byte Serial Read with Single Command Superior Reliability Endurance: 00,000 Cycles (typical)

More information

4-Megabit 2.7-volt Only Serial DataFlash AT45DB041. Features. Description. Pin Configurations

4-Megabit 2.7-volt Only Serial DataFlash AT45DB041. Features. Description. Pin Configurations Features Single 2.7V - 3.6V Supply Serial Interface Architecture Page Program Operation Single Cycle Reprogram (Erase and Program) 2048 Pages (264 Bytes/Page) Main Memory Two 264-Byte SRAM Data Buffers

More information

QPro XQ17V16 Military 16Mbit QML Configuration PROM

QPro XQ17V16 Military 16Mbit QML Configuration PROM R 0 QPro XQ17V16 Military 16Mbit QML Configuration PROM DS111 (v1.0) December 15, 2003 0 8 Product Specification Features 16Mbit storage capacity Guaranteed operation over full military temperature range:

More information

4K x 8 Dual-Port Static RAM and 4K x 8 Dual-Port SRAM with Semaphores

4K x 8 Dual-Port Static RAM and 4K x 8 Dual-Port SRAM with Semaphores 4K x 8 Dual-Port Static RAM and 4K x 8 Dual-Port SRAM with Semaphores Features True dual-ported memory cells, which allow simultaneous reads of the same memory location 4K x 8 organization 0.65 micron

More information

DS1225Y 64k Nonvolatile SRAM

DS1225Y 64k Nonvolatile SRAM 19-5603; Rev 10/10 NOT RECOMMENDED FOR NEW DESIGNS 64k Nonvolatile SRAM www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during

More information

64-Kbit (8K 8) SPI nvsram

64-Kbit (8K 8) SPI nvsram 64-Kbit (8K 8) SPI nvsram 64-Kbit (8K 8) SPI nvsram Features 64-Kbit nonvolatile static random access memory (nvsram) internally organized as 8K 8 STORE to QuantumTrap nonvolatile elements initiated automatically

More information

ESMT M24L416256SA. 4-Mbit (256K x 16) Pseudo Static RAM. Features. Functional Description. Logic Block Diagram

ESMT M24L416256SA. 4-Mbit (256K x 16) Pseudo Static RAM. Features. Functional Description. Logic Block Diagram PSRAM 4-Mbit (256K x 16) Pseudo Static RAM Features Wide voltage range: 2.7V 3.6V Access time: 55 ns, 60 ns and 70 ns Ultra-low active power Typical active current: 1 ma @ f = 1 MHz Typical active current:

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. APPLICATION NOTE A V A I L A B L E AN61 16K X25160 2K x 8 Bit SPI Serial

More information

256Kx72 Synchronous Pipeline SRAM

256Kx72 Synchronous Pipeline SRAM 256Kx72 Synchronous Pipeline SRAM FEATURES Fast clock speed: 100, 133, 150, 166 and 200** MHz Fast access time: 5.0, 4.0, 3.8, 3.5, 3.1ns +3.3V power supply (VCC) +2.5V output buffer supply (VCCQ) Single-cycle

More information

Boundary Scan Implementation

Boundary Scan Implementation OpenCORES s Boundary Scan Implementation Abstract This document describes Boundary Scan Implementation (software and hardware solution. It is fully IEEE 1149.1 compliant. Date : August 6, 2000 Version:

More information

CMOS SyncFIFO 64 X 9, 256 x 9, 512 x 9, 1,024 X 9, 2,048 X 9, 4,096 x 9 and 8,192 x 9

CMOS SyncFIFO 64 X 9, 256 x 9, 512 x 9, 1,024 X 9, 2,048 X 9, 4,096 x 9 and 8,192 x 9 Integrated Device Technology, Inc. CMOS SyncFIFO 64 X 9, 256 x 9, 512 x 9, 1,24 X 9, 2,48 X 9, 4,96 x 9 and 8,192 x 9 IDT72421 IDT7221 IDT72211 IDT72221 IDT72231 IDT72241 IDT72251 FEATURES: 64 x 9-bit

More information

10 Gb/s 3.3 V QuadPort DSE Family

10 Gb/s 3.3 V QuadPort DSE Family 10 Gb/s 3.3 V QuadPort DSE Family 10 Gb/s 3.3 V QuadPort DSE Family Features QuadPort datapath switching element (DSE) family allows four independent ports of access for data path management and switching

More information

NAND32GW3F4A. 32-Gbit (4 x 8 Gbits), two Chip Enable, 4224-byte page, 3 V supply, multiplane architecture, SLC NAND flash memories.

NAND32GW3F4A. 32-Gbit (4 x 8 Gbits), two Chip Enable, 4224-byte page, 3 V supply, multiplane architecture, SLC NAND flash memories. 32-Gbit (4 x 8 Gbits), two Chip Enable, 4224-byte page, 3 V supply, multiplane architecture, SLC NAND flash memories Features High-density SLC NAND flash memory 32 Gbits of memory array 1 Gbit of spare

More information

100-MHz Pentium II Clock Synthesizer/Driver with Spread Spectrum for Mobile PCs

100-MHz Pentium II Clock Synthesizer/Driver with Spread Spectrum for Mobile PCs Features Mixed 2.5V and 3.3V operation Complete clock solution for Pentium II, and other similar processor-based motherboards Two CPU clocks at 2.5V up to 100 MHz Six synchronous PCI clocks, one free-running

More information

DS1249Y/AB 2048k Nonvolatile SRAM

DS1249Y/AB 2048k Nonvolatile SRAM 19-5631; Rev 11/10 www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Unlimited write cycles Low-power CMOS operation

More information

I/O Control. True Dual-Ported RAM Array CE L OE L R/W R. Interrupt Semaphore Arbitration SEM R [3] BUSY R INT L M/S

I/O Control. True Dual-Ported RAM Array CE L OE L R/W R. Interrupt Semaphore Arbitration SEM R [3] BUSY R INT L M/S CY7C138V CY7C139V CY7C144V CY7C145V CY7C006V CY7C016V CY7C007V CY7C017V 3.3V 4K/8K/16K/32K x 8/9 Dual-Port Static RM CY7C138V/144V/006V 3.3V 4K/8K/16K/32K x 8/9 Dual-Port Static RM Features True Dual-Ported

More information

CAT28C17A 16K-Bit CMOS PARALLEL EEPROM

CAT28C17A 16K-Bit CMOS PARALLEL EEPROM 16K-Bit CMOS PARALLEL EEPROM HALOGENFREE LEAD TM FREE FEATURES Fast Read Access Times: 200 ns Low Power CMOS Dissipation: Active: 25 ma Max. Standby: 100 µa Max. Simple Write Operation: On-Chip Address

More information

DS1345W 3.3V 1024k Nonvolatile SRAM with Battery Monitor

DS1345W 3.3V 1024k Nonvolatile SRAM with Battery Monitor 19-5587; Rev 10/10 www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Power supply monitor resets processor when

More information

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM

QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM R DS126 (v1.0) December 18, 2003 0 8 Product Specification 0 QPro XQR17V16 Radiation Hardened 16Mbit QML Configuration PROM Features Latch-Up Immune to LET >120 MeV/cm 2 /mg Guaranteed TID of 50 krad(si)

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

133-MHz Spread Spectrum Clock Synthesizer/Driver with AGP, USB, and DRCG Support

133-MHz Spread Spectrum Clock Synthesizer/Driver with AGP, USB, and DRCG Support 133-MHz Spread Spectrum Clock Synthesizer/Driver with AGP, USB, and DRCG Support Features Mixed 2.5V and 3.3V Operation Compliant to Intel CK133 (CY2210-3) & CK133W (CY2210-2) synthesizer and driver specification

More information

CAT28C K-Bit Parallel EEPROM

CAT28C K-Bit Parallel EEPROM 256K-Bit Parallel EEPROM HALOGENFREE LEAD TM FREE FEATURES Fast read access times: 120/150ns Low power CMOS dissipation: Active: 25 ma max Standby: 150 µa max Simple write operation: On-chip address and

More information

DS1265Y/AB 8M Nonvolatile SRAM

DS1265Y/AB 8M Nonvolatile SRAM 19-5616; Rev 11/10 www.maxim-ic.com 8M Nonvolatile SRAM FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Unlimited write cycles

More information

8M x 64 Bit PC-100 SDRAM DIMM

8M x 64 Bit PC-100 SDRAM DIMM PC-100 SYNCHRONOUS DRAM DIMM 64814ESEM4G09TWF 168 Pin 8Mx64 (Formerly 64814ESEM4G09T) Unbuffered, 4k Refresh, 3.3V with SPD Pin Assignment General Description The module is a 8Mx64 bit, 9 chip, 168 Pin

More information

DS1243Y 64K NV SRAM with Phantom Clock

DS1243Y 64K NV SRAM with Phantom Clock 19-6076; Rev 11/11 DS1243Y 64K NV SRAM with Phantom Clock FEATURES Real-Time Clock Keeps Track of Hundredths of Seconds, Seconds, Minutes, Hours, Days, Date of the Month, Months, and Years 8K x 8 NV SRAM

More information

512Kx32 Synchronous Pipeline Burst SRAM

512Kx32 Synchronous Pipeline Burst SRAM * 512Kx32 Synchronous Pipeline Burst SRAM FEATURES Fast clock speed: 200, 166, 150 & 133MHz Fast access times: 3.0ns, 3.5ns, 3.8ns & 4.0ns Fast OE# access times: 3.0ns, 3.5ns, 3.8ns 4.0ns +3.3V power supply

More information

FM16W08 64Kb Wide Voltage Bytewide F-RAM

FM16W08 64Kb Wide Voltage Bytewide F-RAM Pre-Production FM16W08 64Kb Wide Voltage Bytewide F-RAM Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 100 Trillion (10 14 ) Read/Writes 38 year Data Retention

More information

Low Power Pseudo SRAM

Low Power Pseudo SRAM Revision History Rev. No. History Issue Date 1.0 1. New Release. 2. Product Process change from 90nm to 65nm 3. The device build in Power Saving mode as below : 3-1. Deep Power Down (DPD) 3-2. Partial

More information

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations Features Fast Read Access Time - 70 ns 5-Volt Only Reprogramming Sector Program Operation Single Cycle Reprogram (Erase and Program) 1024 Sectors (128 bytes/sector) Internal Address and Data Latches for

More information

64K/128K x 8/9 Dual-Port Static RAM

64K/128K x 8/9 Dual-Port Static RAM 25/0251 CY7C008/009 Features True Dual-Ported memory cells which allow simultaneous access of the same memory location 64K x 8 organization (CY7C008) 128K x 8 organization (CY7C009) 64K x 9 organization

More information

HSP Histogrammer/Accumulating Buffer. Features. Applications. Ordering Information. Block Diagram FN Data Sheet July 2004

HSP Histogrammer/Accumulating Buffer. Features. Applications. Ordering Information. Block Diagram FN Data Sheet July 2004 HSP48410 Data Sheet July 2004 FN3185.3 Histogrammer/Accumulating Buffer The Intersil HSP48410 is an 84 lead Histogrammer IC intended for use in image and signal analysis. The on-board memory is configured

More information

EZ-USB NX2LP USB 2.0 NAND Flash Controller

EZ-USB NX2LP USB 2.0 NAND Flash Controller EZ-USB NX2LP USB 2.0 NAND Flash Controller 1.0 Features High (480-Mbps) or full (12-Mbps) speed USB support Both common NAND page sizes supported 512bytes Up to 1 Gbit Capacity 2K bytes Up to 8 Gbit Capacity

More information

AS8C803625A AS8C801825A

AS8C803625A AS8C801825A 256K X 36, 512K X 18 3.3V Synchronous ZBT TM SRAMs 3.3V I/O, Burst Counter Flow-Through Outputs AS8C803625A AS8C801825A Features 256K x 36, 512K x 18 memory configuration Supports high performance system

More information

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024A Features Description Pin Configurations

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024A Features Description Pin Configurations BDTIC www.bdtic.com/atmel Features Single-voltage Operation 5V Read 5V Reprogramming Fast Read Access Time 45 ns Internal Program Control and Timer 8K Word Boot Block with Lockout Fast Erase Cycle Time

More information

DS1258Y/AB 128k x 16 Nonvolatile SRAM

DS1258Y/AB 128k x 16 Nonvolatile SRAM www.maxim-ic.com FEATURES 10-Year Minimum Data Retention in the Absence of External Power Data is Automatically Protected During a Power Loss Separate Upper Byte and Lower Byte Chip- Select Inputs Unlimited

More information

Intel386 TM DX MICROPROCESSOR 32-BIT CHMOS MICROPROCESSOR WITH INTEGRATED MEMORY MANAGEMENT (PQFP SUPPLEMENT)

Intel386 TM DX MICROPROCESSOR 32-BIT CHMOS MICROPROCESSOR WITH INTEGRATED MEMORY MANAGEMENT (PQFP SUPPLEMENT) Intel386 TM DX MICROPROCESSOR 32-BIT CHMOS MICROPROCESSOR WITH INTEGRATED MEMORY MANAGEMENT (PQFP SUPPLEMENT) Y Flexible 32-Bit Microprocessor 8 16 32-Bit Data Types 8 General Purpose 32-Bit Registers

More information

DS1220AB/AD 16k Nonvolatile SRAM

DS1220AB/AD 16k Nonvolatile SRAM DS122AB/AD 16k Nonvolatile SRAM www.dalsemi.com FEATURES years minimum data retention in the absence of external power Data is automatically protected during power loss Directly replaces 2k x 8 volatile

More information

X K x 8 Bit 64K. 5MHz SPI Serial E 2 PROM with Block Lock TM Protection

X K x 8 Bit 64K. 5MHz SPI Serial E 2 PROM with Block Lock TM Protection 64K X25650 5MHz SPI Serial E 2 PROM with Block Lock TM Protection 8K x 8 Bit FEATURES 5MHz Clock Rate Low Power CMOS

More information

S-24 Series. Rev.1.1 SERIAL NON-VOLATILE RAM

S-24 Series. Rev.1.1 SERIAL NON-VOLATILE RAM Rev.. SERIL NON-VOLTILE RM S- Series The S- Series is a non-volatile CMOS RM, composed of a CMOS static RM and a non-volatile electrically erasable and programmable memory (E PROM) to backup the SRM. The

More information

4-Megabit (512K x 8) 5-volt Only CMOS Flash Memory AT49F040 AT49F040T AT49F040/040T AT49F040/040T. Features. Description. Pin Configurations

4-Megabit (512K x 8) 5-volt Only CMOS Flash Memory AT49F040 AT49F040T AT49F040/040T AT49F040/040T. Features. Description. Pin Configurations Features Single Voltage Operation 5V Read 5V Reprogramming Fast Read Access Time - 70 ns Internal Program Control and Timer 16K bytes Boot Block With Lockout Fast Erase Cycle Time - 10 seconds Byte By

More information

DS2405. Addressable Switch PIN ASSIGNMENT

DS2405. Addressable Switch PIN ASSIGNMENT www.maxim-ic.com FEATURES Open-drain PIO pin is controlled by matching 64-bit, laser-engraved registration number associated with each device Logic level of open drain output can be determined over 1-Wire

More information

AT49BV004(T) TSOP Top View Type 1 1. AT49BV4096A(T) TSOP Top View Type 1 A16 BYTE GND I/O7 I/O14 I/O6 I/O13 I/O5 I/O12 I/O4 VCC I/O11 I/O3 I/O10 I/O2

AT49BV004(T) TSOP Top View Type 1 1. AT49BV4096A(T) TSOP Top View Type 1 A16 BYTE GND I/O7 I/O14 I/O6 I/O13 I/O5 I/O12 I/O4 VCC I/O11 I/O3 I/O10 I/O2 Features 2.7V to 3.6V Read/Write Operation Fast Read Access Time - 120 ns Internal Erase/Program Control Sector Architecture One 8K Words (16K bytes) Boot Block with Programming Lockout Two 4K Words (8K

More information

ACT-S128K32 High Speed 4 Megabit SRAM Multichip Module

ACT-S128K32 High Speed 4 Megabit SRAM Multichip Module CT-S128K32 High Speed 4 Megabit SRM Multichip Module Features 4 Low Power CMOS 128K x 8 SRMs in one MCM Overall configuration as 128K x 32 Input and Output TTL Compatible 17, 20, 25, 35, 45 & 55ns ccess

More information

COTS PEM AS5SP128K32DQ

COTS PEM AS5SP128K32DQ ustin Semiconductor, Inc. SSRM Plastic Encapsulated Microcircuit 4.0Mb, 128K x 32, Synchronous SRM Pipeline Burst, Single Cycle Deselect BWd\ BWc\ BWb\ BWa\ VDD VSS BWE\ DSC\ DSP\ DV\ Features Synchronous

More information

72-Mbit QDR II+ SRAM Two-Word Burst Architecture (2.0 Cycle Read Latency) with ODT

72-Mbit QDR II+ SRAM Two-Word Burst Architecture (2.0 Cycle Read Latency) with ODT 72-Mbit QDR II+ SRAM Two-Word Burst Architecture (2. Cycle Read Latency) with ODT 72-Mbit QDR II+ SRAM Two-Word Burst Architecture (2. Cycle Read Latency) with ODT Features Separate independent read and

More information

Digital Thermometer and Thermostat

Digital Thermometer and Thermostat General Description The DS75 digital thermometer and thermostat provides 9, 10, 11, or 12-bit digital temperature readings over a -55 C to +125 C range with ±2 C accuracy over a -25 C to +100 C range.

More information

IS61NLP25672/IS61NVP25672 IS61NLP51236/IS61NVP51236 IS61NLP102418/IS61NVP102418

IS61NLP25672/IS61NVP25672 IS61NLP51236/IS61NVP51236 IS61NLP102418/IS61NVP102418 256K x 72, 512K x 36 and 1M x 18 18Mb, PIPELINE 'NO WIT' STTE BUS SRM SEPTEMBER 2011 FETURES 100 percent bus utilization No wait cycles between Read and Write Internal self-timed write cycle Individual

More information

DS1626/DS1726 High-Precision 3-Wire Digital Thermometer and Thermostat

DS1626/DS1726 High-Precision 3-Wire Digital Thermometer and Thermostat www.maxim-ic.com DESCRIPTION The DS1626 and DS1726 digital thermometers/thermostats provide temperature measurements and stand-alone thermostat capability over a -55 C to +125 C range. The DS1626 offers

More information

Pm39F010 / Pm39F020 / Pm39F040

Pm39F010 / Pm39F020 / Pm39F040 1 Mbit / 2 Mbit / 4 Mbit 5 Volt-only CMOS Flash Memory FEATURES Single Power Supply Operation - Low voltage range: 4.5 V - 5.5 V Memory Organization - Pm39F010: 128K x 8 (1 Mbit) - Pm39F020: 256K x 8 (2

More information

QPRO Family of XC1700E Configuration PROMs

QPRO Family of XC1700E Configuration PROMs 11 QPRO Family of XC1700E Configuration PROMs Product Specification Features Configuration one-time programmable (OTP) read-only memory designed to store configuration bitstreams of Xilinx FPGA devices

More information

FM18L08 256Kb Bytewide FRAM Memory

FM18L08 256Kb Bytewide FRAM Memory 256Kb Bytewide FRAM Memory Features 256K bit Ferroelectric Nonvolatile RAM Organized as 32,768 x 8 bits 45 year Data Retention Unlimited Read/Write Cycles NoDelay Writes Advanced High-Reliability Ferroelectric

More information

ESMT. F59L1G81A Flash 1 Gbit (128M x 8) 3.3V NAND Flash Memory FEATURES ORDERING INFORMATION GENERAL DESCRIPTION

ESMT. F59L1G81A Flash 1 Gbit (128M x 8) 3.3V NAND Flash Memory FEATURES ORDERING INFORMATION GENERAL DESCRIPTION Flash 1 Gbit (128M x 8) 3.3V NAND Flash Memory FEATURES Voltage Supply: 2.6V ~ 3.6V Organization - Memory Cell Array: (128M + 4M) x 8bit - Data Register: (2K + 64) x 8bit Automatic Program and Erase -

More information

DS1217M Nonvolatile Read/Write Cartridge

DS1217M Nonvolatile Read/Write Cartridge DS1217M Nonvolatile Read/Write Cartridge www.maxim-ic.com GENERAL DESCRIPTION The DS1217M is a nonvolatile RAM designed for portable applications requiring a rugged and durable package. The nonvolatile

More information

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010 BDTIC www.bdtic.com/atmel Features Single 3.3V ± 10% Supply Fast Read Access Time 200 ns Automatic Page Write Operation Internal Address and Data Latches for 128 Bytes Internal Control Timer Fast Write

More information

128K x36/32 and 256K x18 4Mb, ECC, PIPELINE 'NO WAIT' STATE BUS SYNCHRONOUS SRAM APRIL 2017

128K x36/32 and 256K x18 4Mb, ECC, PIPELINE 'NO WAIT' STATE BUS SYNCHRONOUS SRAM APRIL 2017 128K x36/32 and 256K x18 4Mb, ECC, PIPELINE 'NO WIT' STTE BUS SYHRONOUS SRM PRIL 2017 FETURES 100 percent bus utilization No wait cycles between Read and Write Internal self-timed write cycle Individual

More information

SECTION 11 JTAG PORT

SECTION 11 JTAG PORT nc. SECTION JTAG PORT MOTOROLA DSP5662 User s Manual - nc.. INTRODUCTION....................................-3.2 JTAG PINS........................................-5.3 TAP CONTROLLER.................................-6.4

More information

XC95144XL High Performance CPLD

XC95144XL High Performance CPLD XC95144XL High Performance CPLD November 13, 1998 (Version 1.2) Features 5 ns pin-to-pin logic delays System frequency up to 178 MHz 144 macrocells with 3,200 usable gates Available in small footprint

More information

IS61NLP25636A/IS61NVP25636A IS61NLP51218A/IS61NVP51218A

IS61NLP25636A/IS61NVP25636A IS61NLP51218A/IS61NVP51218A IS61NLP25636/IS61NVP25636 IS61NLP51218/IS61NVP51218 256K x 36 and 512K x 18 9Mb, PIPELINE 'NO WIT' STTE BUS SRM UGUST 2014 FETURES 100 percent bus utilization No wait cycles between Read and Write Internal

More information

PIN ASSIGNMENT PIN DESCRIPTION

PIN ASSIGNMENT PIN DESCRIPTION www.dalsemi.com FEATURES Temperature measurements require no external components Measures temperatures from -55 C to +120 C. Fahrenheit equivalent is -67 F to +248 F Thermometer accuracy is ±2.0 C Thermometer

More information

74ABT General description. 2. Features and benefits. 3. Ordering information. Quad 2-input AND gate

74ABT General description. 2. Features and benefits. 3. Ordering information. Quad 2-input AND gate Rev. 3 20 November 2015 Product data sheet 1. General description The high-performance BiCMOS device combines low static and dynamic power dissipation with high speed and high output drive. The is a quad

More information

FM1608B 64Kb Bytewide 5V F-RAM Memory

FM1608B 64Kb Bytewide 5V F-RAM Memory Pre-Production FM1608B 64Kb Bytewide 5V F-RAM Memory Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 1 Trillion (10 12 ) Read/Writes 38 year Data Retention (@ +75

More information

AT45DQ321. Features. 32-Mbit DataFlash (with Extra 1-Mbits), 2.3V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support

AT45DQ321. Features. 32-Mbit DataFlash (with Extra 1-Mbits), 2.3V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support 32-Mbit DataFlash (with Extra 1-Mbits), 2.3V Minimum SPI Serial Flash Memory with Dual-I/O and Quad-I/O Support Features Single 2.3V - 3.6V supply Serial Peripheral Interface (SPI) compatible Supports

More information

69F Megabit (16M x 8-Bit) Flash Memory Module FEATURES: DESCRIPTION: Logic Diagram (1 of 4 Die)

69F Megabit (16M x 8-Bit) Flash Memory Module FEATURES: DESCRIPTION: Logic Diagram (1 of 4 Die) 69F1608 128 Megabit (16M x 8-Bit) Flash Memory Module FEATURES: Single 5.0 V supply Organization: - Memory cell array: (4M + 128k) bit x 8bit - Data register: (512 + 16) bit x 8bit - Contains 4 (32 Megabit)

More information

32-megabit DataFlash + 4-megabit SRAM Stack Memory AT45BR3214B

32-megabit DataFlash + 4-megabit SRAM Stack Memory AT45BR3214B Features 32-Mbit DataFlash and 4-Mbit SRAM Single 62-ball (8 mm x 12 mm x 1.2 mm) CBGA Package 2.7V to 3.3V Operating Voltage DataFlash Single 2.7V to 3.3V Supply Serial Peripheral Interface (SPI) Compatible

More information

ATF20V8B. High Performance Flash PLD. Features. Block Diagram. Pin Configurations

ATF20V8B. High Performance Flash PLD. Features. Block Diagram. Pin Configurations Features Industry Standard Architecture Emulates Many 24-Pin PALs Low Cost Easy-to-Use Software Tools High Speed Electrically Erasable Programmable Logic Devices 7.5 ns Maximum Pin-to-Pin Delay Several

More information

PCA bit multiplexed/1-bit latched 5-bit I 2 C EEPROM DIP switch

PCA bit multiplexed/1-bit latched 5-bit I 2 C EEPROM DIP switch INTEGRATED CIRCUITS Supersedes data of 2001 Jan 12 2003 Jun 27 Philips Semiconductors FEATURES 4-bit 2-to-1 multiplexer, 1-bit latch DIP switch 5-bit internal non-volatile register Override input forces

More information

Parallel NOR and PSRAM 88-Ball MCP Combination Memory

Parallel NOR and PSRAM 88-Ball MCP Combination Memory Features Parallel NOR and PSRAM 88-Ball MCP Combination Memory MT38W2021A902ZQXZI.X69, MT38W2021A502ZQXZI.X69 Features Micron Parallel NOR Flash and PSRAM components RoHS-compliant, green package Space-saving

More information

HT CMOS 2K 8-Bit SRAM

HT CMOS 2K 8-Bit SRAM CMOS 2K 8-Bit SRAM Features Single 5V power supply Low power consumption Operating: 400mW (Typ.) Standby: 5µW (Typ.) 70ns (Max.) high speed access time Power down by pin CS TTL compatible interface levels

More information

PCA bit multiplexed/1-bit latched 6-bit I 2 C EEPROM DIP switch

PCA bit multiplexed/1-bit latched 6-bit I 2 C EEPROM DIP switch INTEGRATED CIRCUITS I 2 C EEPROM DIP switch Supersedes data of 2002 May 24 2003 Jun 27 Philips Semiconductors PIN CONFIGURATION FEATURES 5-bit 2-to-1 multiplexer, 1-bit latch DIP switch 6-bit internal

More information

EN27LN1G08 EN27LN1G08. 1 Gigabit (128 Mx 8), 3.3 V NAND Flash Memory. Features

EN27LN1G08 EN27LN1G08. 1 Gigabit (128 Mx 8), 3.3 V NAND Flash Memory. Features 1 Gigabit (128 Mx 8), 3.3 V NAND Flash Memory Features Voltage Supply: 2.7V ~ 3.6V Organization - Memory Cell Array : (128M + 4M) x 8bit for 1Gb - Data Register : (2K + 64) x 8bit Automatic Program and

More information

DS1216B. SmartWatch/RAM 16K/64K FEATURES PIN ASSIGNMENT PIN DESCRIPTION

DS1216B. SmartWatch/RAM 16K/64K FEATURES PIN ASSIGNMENT PIN DESCRIPTION DS1216B SmartWatch/RAM 16K/64K FEATURES Keeps track of hundredths of seconds, seconds, minutes, hours, days, date of the month, months, and years Converts standard 2K x 8 and 8K x 8 CMOS static RAMs into

More information

Pm39LV512 / Pm39LV010 / Pm39LV020 / Pm39LV040

Pm39LV512 / Pm39LV010 / Pm39LV020 / Pm39LV040 512 Kbit / 1Mbit / 2Mbit / 4Mbit 3.0 Volt-only CMOS Flash Memory FEATURES Single Power Supply Operation - Low voltage range: 2.7 V - 3.6 V Memory Organization - Pm39LV512: 64K x 8 (512 Kbit) - Pm39LV010:

More information

Parallel NOR and PSRAM 56-Ball MCP Combination Memory

Parallel NOR and PSRAM 56-Ball MCP Combination Memory Parallel NOR and PSRAM 56-Ball MCP Combination Memory MT38L3031AA03JVZZI.X7A 56-Ball MCP: 128Mb Parallel NOR and 64Mb PSRAM Features Features Micron Parallel NOR Flash and PSRAM components RoHS-compliant,

More information

PRELIMINARY DATASHEET

PRELIMINARY DATASHEET AT45DB321E 32-Mbit DataFlash (with Extra 1-Mbits), 2.3V or 2.5V Minimum SPI Serial Flash Memory Features PRELIMINARY DATASHEET See Errata Section 30. Single 2.3V - 3.6V or 2.5V - 3.6V supply Serial Peripheral

More information

CAT22C Bit Nonvolatile CMOS Static RAM

CAT22C Bit Nonvolatile CMOS Static RAM 256-Bit Nonvolatile CMOS Static RAM FEATURES Single 5V Supply Fast RAM Access Times: 200ns 300ns Infinite E 2 PROM to RAM Recall CMOS and TTL Compatible I/O Power Up/Down Protection 100,000 Program/Erase

More information

t RP Clock Frequency (max.) MHz

t RP Clock Frequency (max.) MHz 3.3 V 32M x 64/72-Bit, 256MByte SDRAM Modules 168-pin Unbuffered DIMM Modules 168 Pin unbuffered 8 Byte Dual-In-Line SDRAM Modules for PC main memory applications using 256Mbit technology. PC100-222, PC133-333

More information