CorePCIX. v2.0. High Performance Customizable PCI-X Bus Interface

Size: px
Start display at page:

Download "CorePCIX. v2.0. High Performance Customizable PCI-X Bus Interface"

Transcription

1 v2.0 CorePCIX Product Summary Intended Use High Performance Customizable PCI-X Bus Interface Key features PCI-X 1.0a Compliant 100 MHz PCI-X Transfers 33 MHz PCI 2.2 Legacy Support PCI-X Only Option 133 MHz PCI-X Transfers Lower Gate Count for Embedded Applications Local Bus Interface Additional Logic can be Easily Integrated Easily Connect Local Bus to FIFOs Implemented in FPGA Embedded RAM Blocks Minimal Latency Customizable, Programmable, Single-Chip Solution Highly-Parameterized Core Web-based Configuration and Delivery Permits Personalization and Reduction of Unused Logic Both Target and Master Functions Both 32-bit and 64-bit Operation Supports Split Completion Supports PCI-X/PCI Commands Supports PCI-Only Commands 4kb Bursts for Data throughput of up to 1GB/sec Designed for Repeatable Timing Results with Minimal Place-and-Route Effort Targeted Family Axcelerator Core Deliverables Netlist Version Compiled RTL Simulation Model, Compliant with the Actel Libero Integrated Design Environment (IDE) Fixed Configuration Netlist Compatible with the Actel Designer Place-and-Route Tool (with and without I/O pads) User-Defined Configuration Supported Via Web-based Configuration System RTL Version VHDL or Verilog Core Source Code Synthesis Scripts Actel-Developed Testbenches Simple to use VHDL and Verilog Testbenches Complete Reference Design (Figure 2 on page 3) VHDL and Verilog Source Code PCI-X to SDRAM Memory DMA Controller Split Completion Logic Development System Complete PCI-X Development System Available (Optional) 100 MHz PCI-X Plug-in Card Complete Reference Design Windows-Compatible Support Drivers Synthesis and Simulation Support Synthesis: Exemplar, Synplicity, Design Compiler, FPGA Compiler Simulation: Vital-Compliant VHDL Simulators and OVI-Compliant Verilog Simulators Verification and Compliance PCI-X 1.0a Compliance Verified Using Catalyst TA700-X-E PCI-X Exerciser May Actel Corporation

2 Table of Contents Section Page Initiator Control Local Bus Initiator Interface General Description 2 CorePCIX Delivery Mechanism 2 CorePCIX Device Requirements 2 Reference Design 3 Recommended Design Experience 3 Architecture 4 PCI-X/PCI Interface Description 6 CorePCIX Parameters 12 Local Bus Interface 14 CorePCIX Design Examples 41 Ordering Information 43 General Description CorePCIX provides an easy-to-use, highly flexible, high-performance interface to the PCI bus. The PCI core is available in two versions: PCI-X/PCI 2.2 Supports PCI-X up to 100 MHz Supports conventional PCI Ideal for applications in which the PCI-X/PCI bus configuration is unknown or variable: PCI-X add-in cards such as frame buffers, network adaptors and data acquisition boards Systems with many configurations Transitional systems with mixed PCI-X and PCI devices PCI-X Supports PCI-X up to 133 MHz Does not support conventional PCI Smaller size results in lowest cost implementation Ideal for applications in which the PCI-X/PCI bus configuration is known: Embedded applications with a single or limited known configurations such as networking, industrial, HiRel and Telecom Cost-sensitive applications requiring the smallest programmable logic devices Designs requiring the quickest time to market There are two versions: one provides a fully compliant PCI-X 1.0a with PCI 2.2 support solution. The other provides a lower gate count PCI-X only solution optimized for embedded systems that operate in PCI-X mode only. PCI-X/PCI Bus PCI Configuration Space Datapath Target Control PCI Target Decoder Figure 1 CorePCIX Block Diagram Local Bus Target Interface CorePCIX Delivery Mechanism CorePCIX is available in both netlist and RTL formats. For netlist cores, a standard configuration core is provided. To reconfigure the core (i.e. define the configuration space parameters), Actel provides a web-based form to licensed customers. When the form is completed, Actel generates a netlist that fulfills the user requirements. The configurable parameters are described in Table 9 on page 12 through Table 12 on page 13. For RTL cores, the complete source code is provided allowing the user to directly synthesize the core with the desired parameterization. CorePCIX Device Requirements CorePCIX is a high performance core targeted for the Axcelerator family. The actual device performance and utilization varies based on the core configuration. Table 1 shows the typical core-only utilization. A complete PCI-X implementation will also require the user to implement logic to connect the core to the required backend interface, as shown in Tables 13 and 14. The size of this logic can be significant. Table 1 on page 3 also shows the reference design utilization that includes split completion support, DMA controllers, and an SDRAM controller (Figure 2 on page 3). CorePCIX meets PCI-X timing requirements when implemented in an AX500-3, AX or AX device. 2 v2.0

3 Table 1 CorePCIX Utilization Cells or Tiles Core Type Combinatorial Sequential Device PCI Performance Utilization PCI-X Only AX MHz 33.5% PCI-X with PCI2.2 Support AX MHz 38.0% Reference Design* 5,685 3,920 AX MHz 52.5% Reference Design with PCI2.2 Support* 6,111 4,090 AX MHz 56.0% Note: *Includes PCI-X or PCI-X/PCI core Reference Design Actel provides a complete reference design that implements all the logic required around the core to implement a complete PCI-X solution. This code is supplied as both VHDL and Verilog source code, enabling it to be easily adapted to fit into the actual application. The reference design implements a PCI-X/PCI interface with an internal DMA controller to an external SDRAM module and to internal FPGA SRAM memory. This demonstrates a complex interface to external SDRAM, requiring the implementation of split completion logic and a simple interface to internal SRAM memory. Refer to the CorePCIX Design Examples section on page 41 for more information. Axcelerator DEVICE init Init Arbiter DMA Ctrl SDRAM PCI-X/ PCI PCI-X Core Arbiter SDRAM Ctrl SDRAM targ Targ Ctrl DMA Registers Internal SRAM Figure 2 PCI-X Reference Design Recommended Design Experience CorePCIX implements the complex PCI-X/PCI protocol logic and provides an easy to use backend interface. Along with the provided reference design, a compete PCI-X solution can be implemented with reasonable effort. However, implementing a 133 MHz PCI-X-based system is a challenging design activity at the system design level, RTL implementation, and layout phases. Actel provides support through its Design Consulting Services to aid in the process. Table 2 lists devices supported by CorePCIX Table 2 CorePCIX supported Devices Device Speed Grade Package AX500 3 FG484 AX500 3 FG676 AX FG484 AX FG676 AX BG729 AX FG896 AX FG896 AX FG1152 v2.0 3

4 Architecture CorePCIX consists of five main blocks as shown in Figure 3. The PCI-X/PCI Initiator Control block implements the bus initiator functions (master) for both PCI-X and PCI operations. It contains a state machine that implements the PCI-X/PCI initiator protocol. The PCI-X/PCI Target Control block responds to the PCI bus activity as a target for both PCI-X and PCI activity. It contains a state machine that implements the PCI-X/PCI target protocol. The PCI-X/PCI Data Path implements the data path through the core. This is a high performance 64-bit wide data path that enables data from the Initiator, Target, or Configuration Space block to be read from or written to the PCI bus. It handles the 64 to 32-bit multiplexing requirements, as well as parity generation and checking. The PCI-X/PCI Configuration Space block implements the PCI-X/PCI type 00 configuration space, including the extensions required for PCI-X. Finally, the PCI-X/PCI decode block implements the address decoder required for target operations. Initiator Control Local Bus Initiator Interface PCI-X/PCI Bus Datapath Target Control Local Bus Target Interface PCI Configuration Space PCI Target Decoder Figure 3 CorePCIX Architecture 4 v2.0

5 Port Diagram Figure 4 illustrates the CorePCIX ports by function. Port descriptions are provided in the following sections: PCI Bus Signals section on page 6 Local Bus Target Signals section on page 28 Local Bus Target Signals section on page 28 Local Bus Miscellaneous Signals section on page 40 pci_clk pci_rst_n pci_req_n pci_gnt_n pci_frame_n pci_irdy_n pci_devsel_n pci_trdy_n pci_stop_n pci_idsel pci_ad[63/31#:0] pci_cbe_n[7/3#:0] pci_par pci_perr_n pci_serr_n pci_inta_n pci_req64_n pci_ack64_n pci_par64 PCI-X/PCI SIGNALS INITIATOR LOCAL BUS SIGNALS init_enable init_req init_gnt init_req_size_64_32_n init_cmd[3:0] init_addr[63:0] init_attr[35:0] init_trans_active init_trans_start init_trans_end init_trans_status[4:0] init_data_req init_data_response[1:0] init_data_xfer_size_64_32_n init_wr_ack init_wr_xfer init_wr_last init_wr_data[63/31#:0] init_rd_en init_rd_last init_rd_be[7/3#:0] init_rd_data[63/31#:0] local_mode_pcix_pci_n local_mode_pcix_66 local_mode_pcix_100 local_mode_pcix_133 local_mode_64_32_n local_int local_pcix_set_split_comp_disc local_pci_cmd[15:0] local_pci_status[15:0] local_pcix_cmd[15:0] local_pcix_status[31:0] MISC TARGET LOCAL BUS SIGNALS targ_accepts_64_32_n targ_trans_active targ_trans_start targ_trans_end targ_cs[4:0] targ_addr_64_32_n targ_addr[63:0] targ_cmd[3:0] targ_wr_rd_n targ_burst targ_attr[35:0] targ_data_req targ_data_req_init targ_data_req_cont targ_data_response[6:0] targ_data_xfer_size_64_32_n targ_wr_en targ_wr_data[63/31#:0] targ_wr_be[7/3#:0] targ_rd_ack targ_rd_xfer targ_rd_data[63/31#:0] targ_rd_be[7/3#:0] Figure 4 CorePCIX Interfaces v2.0 5

6 PCI-X/PCI Interface Description PCI Bus Signals Interface Description The CorePCIX PCI signals are listed in Table 3 and Table 4. Refer to Chapter 2 (pages 7-20) of the PCI Local Bus Specification for a detailed description of the PCI signals. Table 3 32-bit PCI Bus Signals CorePCIX Port Type PCI Specification Signal Name pci_clk Input CLK (Clock) pci_rst_n Input RST# (Reset) pci_req_n REQ# (Request) pci_gnt_n Input GNT# (Grant) pci_frame_n Bidirectional FRAME# (Cycle Frame) pci_irdy_n Bidirectional IRDY# (Initiator Ready) pci_devsel_n Bidirectional DEVSEL# (Device Select) pci_trdy_n Bidirectional TRDY# (Target Ready) pci_stop_n Bidirectional STOP# (Stop) pci_idsel Input IDSEL (Initialization Device Select) pci_ad[63/31#:0] Bidirectional AD (Address and Data) pci_cbe_n[7/3#:0] Bidirectional C/BE# (Bus Command and Byte Enables) pci_par Bidirectional PAR (Parity) pci_perr_n Bidirectional PERR# (Parity Error) pci_serr_n Open Drain SERR# (System Error) pci_inta_n Open Drain INTA# (Interrupt A) Note: # = port size for 64-bit CorePCIX / 32-bit CorePCIX, respectively. Table 4 64-bit PCI Bus Signals CorePCIX Port Type PCI Signal pci_req64_n Bidirectional REQ64# (Request 64-bit Transfer) pci_ack64_n Bidirectional ACK64# (Acknowledge 64-bit Transfer) pci_par64 Bidirectional PAR64 (Parity Upper DWORD) Note: # = port size for 64-bit CorePCIX / 32-bit CorePCIX, respectively. PCI Configuration Space CorePCIX implements the Header Type 00 PCI configuration registers as detailed in the PCI Local Bus Specification Revision 2.2 and the Addendum to the PCI Local Bus Specification Revision 1.0a. See Table 4 on page 6, Table 5 on page 7, Table 6 on page 7, and Table 7 on page 7. 6 v2.0

7 Table 5 Standard Type 00 Configuration Registers ADDR BYTE3 BYTE2 BYTE1 BYTE0 00 Device ID Vendor ID 04 Status Command 08 Class Code Revision ID 0C BIST Header Type Latency Timer Cache Line Size C Base Address Registers CardBus CIS Pointer 2C Subsystem ID Subsystem Vendor ID 30 Expansion ROM Base Address 34 Reserved Capabilities Pointer 38 Reserved 3C Max Latency Min Grant Interrupt Pin Interrupt Line Table 6 Capabilities List ADDR BYTE3 BYTE2 BYTE1 BYTE0 40 PCI-X Command Next Capability PCI-X Capability ID 44 PCI-X Status Table 7 Standard Type 00 Configuration Registers ADDR PCI Configuration Register Vendor ID Device ID Command Register Description Read Only: This field identifies the manufacturer of the device. Reads return the value of parameter VENDOR_ID Read Only: This field identifies the particular device. Reads return the value of parameter DEVICE_ID Command Register Bits: Bits 10, 8, 6, and 2..1 are Read/Write. Others are Read Only Bits (Reserved) = Not implemented Bit 10 (Interrupt Disable): Set to disable interrupt generation if PCI 2.3 Support enabled Bit 9 (Fast Back-to-Back Enable) = 0. Not implemented Bit 8 (SERR# Enable): Set to enable system error reporting Bit 7 (Reserved) = 0. Not implemented Bit 6 (Parity Error Response): Set to enable parity error (PERRn) reporting Bit 5 (VGA Palette Snoop) = 0. Not implemented Bit 4 (Memory Write and Invalidate Enable) = 0. Not implemented Bit 3 (Special Cycles) = 0. Not implemented Bit 2 (Bus Master): Set to enable CorePCIX to master the PCI bus. Ignored in PCI-X mode for Split Completion transactions Bit 1 (Memory Space): Set to enable memory accesses Bit 0 (I/O Space) = 0. Not implemented. All BARs are memory spaces v2.0 7

8 Table 7 Standard Type 00 Configuration Registers ADDR Status 08 Revision ID Status Register Bits: Bits and 8 are Read/Write. Writing a 1 to a bit location, clears that bit. Writing a 0 to a bit location has no effect. Other bits are read only Bit 15 (Detected Parity Error): Set if the core detected a parity error Bit 14 (Signaled System Error): Set if the core asserted SERRn Bit 13 (Received Master Abort): Set if the core terminated an initiator transaction with master abort (no target claimed the transaction by asserting DEVSEL#) Bit 12 (Received Target Abort): Set if the core received a target abort termination from the target during an initiator transaction Bit 11 (Signaled Target Abort): Set to indicate that the core asserted target abort while it was the target of a transaction Bits (DEVSEL timing) = 10. This is a slow decode device Bit 8 (Master Data Parity Error): Set if the core asserted PERR# on an initiator read or detected PERR# asserted on an initiator write and Parity Error Response (bit 6) in the Command Register is enabled Bit 7 (Fast Back-to-back Capable) = 0. Not implemented Bit 6 (Reserved) = 0. Not implemented Bit 5 (66 MHz capable) = value of parameter PCI_66MHZ_CAPABLE Bit 4 (Capabilities List) = 1. A capabilities linked list starts at address offset 0x34 Bit 3 (Interrupt Status): Status of the local bus local_int interrupt input Bits 2..0 (Reserved) = 000. Not implemented Read Only: This register specifies the device specific revision identifier. Reads return the value of parameter REVISION_ID 0B - 09 Class Code Read Only: The Class Code identifies the generic function of the device. Reads return the value of parameter CLASS_CODE 0C 0x0C: Cache Line Size Read Only: (Reserved) = 0x00. Not implemented 0D PCI Configuration Register 0x0D: Latency Timer Register Description Read/Write: The Latency Timer register is written with the number of clock cycles that the PCI core is allowed to retain control of the PCI bus. Bits 2..0 are hardwired to 000, so the smallest value that may be written is 0x8. The default value is set to 64 as specified in the PCI-X specification 0E 0x0E: Header Type Read Only: This register reads 0x00 to indicate that the PLD complies to the standard PCI configuration register mapping and that it is a single function device 0F 0x0F: BIST Not implemented. Reads return 0x00 8 v2.0

9 Table 7 Standard Type 00 Configuration Registers ADDR Up to three memory Base Address Registers (BARs) are supported. I/O BARs are not supported. All three memory BARs are implemented as 64-bit (as required by PCI-X Specification) The three BARs are configured through three parameters particular to that BAR: BARx_ENABLE is set to implement the BAR or clear to not implement the BAR. If all three BARs are not implemented, then the BARs must be implemented in consecutive order beginning with BAR0 BARx_PREFETCHABLE is returned as the read only prefetchable status bit (Bit 3) BARx_SIZE sets the size of the region decoded by the BAR == 2BARx_SIZE; valid values are 12 to 63 (4kb to 2 63 Bytes); 2 40 =1,024GB The meaning of the bit fields for the upper DWORD of the BARs is as follows: Bits are Read/Write Base Address Register 0 Bits Written to specify where to locate this region in memory space (when appended to Read/Write Bits of the lower DWORD and to hardcoded bits [11:0] == 0x000 results in the 4kbyte-aligned, 64-bit base address of the BAR) The meaning of the bit fields for lower DWORD of the BARs is as follows: Bits are Read/Write; are read only Bit Written to specify where to locate this region in memory space (see upper DWORD description above) Bits Hardcoded to 0x00 to limit the minimum BAR size to 4kb Bit 3 = Prefetchable: Reads return the value of parameter BARx_PREFETCHABLE.Bits 2..1 = 10 (for locate anywhere in 64-bit address space) Bit 0 = 0 to indicate that this is a memory space BAR 1F-18 Base Address Register 1 See description of Base Address Register 0 above Base Address Register 2 See description of Base Address Register 0 above 2B - 28 Card Bus CIS Pointer Not implemented. Reads return 0x D - 2C 2F - 2E PCI Configuration Register Subsystem Vendor ID Subsystem ID Exp. ROM Base Addr. Reg. Register Description Read Only: Additional vendor information. Reads return the value in parameter SUBSYSYSTEM_VENDOR_ID Read Only: Additional device information. Reads return the value in parameter SUBSYSYSTEM_ID The expansion ROM Base Address Register is supported.two parameters control the behavior of the Expansion ROM BAR EXP_ROM_ENABLE is set to implement the BAR; clear to not implement EXP_ROM_SIZE sets the size of the region decoded by the BAR == 2^EXP_ROM_SIZE; valid values are 12 to 31 (4kb to 2 GB) Read/Write: Expansion ROM Base Address Register Bit Written to specify where to locate this region in memory space Bits = 0..0 Reserved Bit 0 = Set by S/W to enable decoding the Expansion ROM and clear to disable 34 Capabilities Pointer Read Only: Reads return 0x40 indicating that the capabilities list starts at address 0x Reserved Not implemented. Reads return 0x B - 38 Reserved Not implemented. Reads return 0x v2.0 9

10 Table 7 Standard Type 00 Configuration Registers ADDR 3C 3D 3E 3F PCI Configuration Register Interrupt Line Interrupt Pin Minimum Grant Maximum Latency Register Description Read/Write if parameter INT_ENABLE is set: Written by configuration software to indicate to which system interrupt line INTAn is connected. Read Only = 0 if parameter INT_ENABLE is clear Read Only: Only PCI interrupt INTAn is implemented by the PCI core, so this register always reads 0x01 when parameter INT_ENABLE is set or 0 when INT_ENABLE is clear Read Only: This register is set to the minimum amount of 250 ns time increments that the PCI core wants to retain mastership of the PCI bus assuming a 33 MHz clock rate. This register returns the value of the MIN_GRANT parameter Read Only: Specifies how often a device needs access to the PCI bus. This register returns the value of the MAX_LATENCY parameter Table 8 Capabilities List ADDR PCI Configuration Register Register Description 40 PCI-X Capability ID Read Only: Reads return 0x07 indicating that this is a PCI-X register set. 41 Next Capability Read Only: Reads return 0x00 indicating that this is the last capability in the list PCI-X Command Command Register Bits: Bits 6..0 are Read/Write. Others are Read Only Bits (Reserved) = Not implemented Bits 6..4 (Maximum Outstanding Split Transactions): Software sets to the maximum number of Split Transactions that the PCI core is permitted to have outstanding: 0=1, 1=2, 2=3, 3=4, 4=8, 5=12, 6=16, and 7=32. On reset, this register is set to the value of the DEF_MAX_SPLIT_TRANS parameter Bits 3..2 (Maximum Memory Read Byte Count): Software sets to the maximum byte count that can be used by an initiator read bust command: 0=512, 1=1024, 2=2048, and 3=4096 bytes Bit 1 (Enable Relaxed Ordering): If this bit is set, the local bus is permitted to initiate transactions with the Relaxed Ordering bit set in the Requester Attributes Bit 0 (Data Parity Error Recovery Enable): Software sets this bit to enable the device to attempt to recover from data parity errors 10 v2.0

11 Table 8 Capabilities List ADDR PCI Configuration Register Register Description PCI-X Status Status Register Bits: Bits 29, 19, and 18 are Read/Write. Writing a 1 to a bit location, clears that bit. Writing a 0 to a bit location has no affect. Other bits are Read Only Bits (Reserved) = 00. Not implemented Bit 29 (Received Split Completion Message): Set when the core receives a Split Completion message with the Split Completion Error bit set Bits (Designed Maximum Cumulative Read Size): Reads return the value of the DESIGNED_MAX_CUM_RD_SIZE parameter Bits (Designed Maximum Outstanding Split Transactions): Reads return the value of the DESIGNED_MAX_SPLIT_TRANS parameter Bits (Designed Maximum Memory Read Byte Count): Reads return the value of the DESIGNED_MAX_MEM_RD_BCNT parameter Bit 20 (Device Complexity): Reads return the value of the DEVICE_COMPLEXITY parameter Bit 19 (Unexpected Split Completion): Set by the PCI core if an unexpected Split Completion with this device's Requester ID is received Bit 18 (Split Completion Discarded): Set by the PCI core if a Split Completion transaction was not accepted by the requester. Bit 17 (133 MHz Capable): Reads return the value of the PCI_133_CAPABLE parameter Bit 16 (64-bit Device): Reads return the value of the PCI_64_32_N parameter Bits (Bus Number): Indicates the bus number on which the PCI core device resides Bits 7..3 (Device Number): Indicates the number of the device on which the PCI core device resides Bits 2..0 (Function Number) = 000 to indicate that the core is always function #0 v2.0 11

12 CorePCIX Parameters The CorePCIX contains numerous parameters to enable users to customize the core for their application. Parameters are provided for users to control the contents of the PCI read-only configuration registers, to support configurable memory base address registers, and to scale the feature set to make optimum use of PLD resources. For netlist versions of the core, Actel has provided a web-based form to set these parameters. Actel then creates a specific netlist built to these parameters. RTL users can directly set these parameters. The parameters listed in Table 9 have a drastic impact on the functionality of the core and should be carefully selected. Table 9 Core Version Parameters Parameter Size Description CONVENTIONAL_PCI_ENABLE Bit Set to support PCI-X with backward compatible support for conventional PCI. Clear to support PCI-X only. Note that supporting PCI-X is only technically a violation of the PCI-X Specification but is perfectly acceptable if the user can guarantee (as in many embedded or fixed implementation systems) that conventional PCI mode will not be required. Setting this parameter requires that the design meet both the 133 MHz PCI-X and the 66 MHz PCI timing criteria and results in a larger core with more difficult timing constraints than when this bit is clear to indicate support for PCI-X mode only. The Local Bus interface ports are identical for both PCI-X and conventional PCI modes of operation although some ports on the Local Bus interface have different meanings in PCI-X mode than in conventional PCI mode PCI23_ENABLE Bit Set to set the conventional PCI to support the PCI 2.3 specification. The PCI-X specification requires PCI 2.2 support only. Specifically, this enables the interrupt disable bit in the PCI command register PCI_64_32_N Bit Set to implement a 64-bit CorePCIX; clear to implement a 32-bit CorePCIX INT_ENABLE Bit Interrupt Enable: Set to enable support for interrupts. Clear to disable support for interrupts Table 10 lists the 64-bit Memory-base address registers (BAR0, BAR1, and BAR2), each with three parameters. Table 10 Base Address Register Parameters Parameter Size Description BAR0_ENABLE Bit Set to enable this Memory Base Address Register. If clear, all accesses to the BAR are ignored and the BAR reads return all zeros. If fewer than three BARs are implemented, they must be implemented in sequential order starting from BAR0. Disabled BARs minimize out during synthesis so unused BARs do not consume any PLD resources BAR0_PREFETCHABLE Integer Set if the memory region defined by this Base Address Register is prefetchable. If a region is marked as prefetchable, read performance will be improved. A region may not be marked as prefetchable if there are any side effects on reads. Generally, spaces mapped to block memories (such as SDRAM, SRAM) are prefetchable while spaces mapped to control registers are not BAR0_SIZE Bit Sets the desired size of the BAR. The size set is 2^BAR0_SIZE. Valid values are 12 to 63 (4 kb to 2 63 GB) i.e. BARx_SIZE == 16 => 2 16 = 64 kb i.e. BARx_SIZE == 20 => 2 20 = 1 MB i.e. BARx_SIZE == 34 => 2 34 = 16 GB BAR1_ENABLE Bit See description of BAR0_ENABLE above BAR1_PREFETCHABLE Integer See description of BAR0_PREFETCHABLE above BAR1_SIZE Bit See description of BAR0_SIZE above BAR2_ENABLE Bit See description of BAR0_ENABLE above BAR2_PREFETCHABLE Integer See description of BAR0_PREFETCHABLE above BAR2_SIZE Integer See description of BAR0_SIZE above 12 v2.0

13 Table 11 lists the one expansion ROM Base Address Registers with two parameters. Table 11 Expansion ROM Parameters Parameter Size Description EXP_ROM_ENABLE EXP_ROM _SIZE Table 12 lists the read-only PCI-X/PCI Configuration Space parameters. Bit Integer Table 12 Read-only PCI-X/PCI Configuration Space Parameters Parameter Size Description VENDOR_ID [15:0] DEVICE_ID [15:0] REVISION_ID [7:0] CLASS_CODE [23:0] PCI_66MHZ_CAPABLE Bit SUBSYSYSTEM_VENDOR_ID [15:0] SUBSYSYSTEM_ ID [15:0] MIN_GRANT [7:0] MAX_LATENCY [7:0] DEF_MAX_SPLIT_TRANS [2:0] DESIGNED_MAX_CUM_RD_SIZE [2:0] DESIGNED_MAX_SPLIT_TRANS [2:0] DESIGNED_MAX_MEM_RD_BCNT [1:0] DEVICE_COMPLEXITY PCI_133_CAPABLE Bit Bit Set to enable the Expansion ROM BAR. If clear, all accesses are ignored and the Expansion ROM BAR reads 0x Sets the desired size of the Expansion ROM BAR. The size set is 2^EXP_ROM_SIZE. Valid values are 12 to 31 (4 kb to 2 GB) e.g. EXP_ROM_SIZE == 16 => 2 16 = 64 kb e.g. EXP_ROM_SIZE == 20 => 2 20 = 1 MB Set this parameter to the vendor ID assigned to the user's company by the PCI Special Interest Group. If used in an embedded application in which all of the PCI bus devices in the system are known, this field may be set to any value that will not conflict with another PCI device. The Actel vendor ID is 11AA hex and may be used with Actel s permission Assigned by the user. Should be a unique identifier that distinguishes this card from all of the company's other PCI cards Assigned by the user. Set by the user to identify different revisions of the PLD code Set in accordance with the PCI Specification to identify the device class to which this device belongs Set if the device supports conventional PCI at 66 MHz. Clear for 33 MHz. The CorePCIX supports both 66 and 33 MHz. This bit indicates whether the place-and-route of CorePCIX was done to achieve 66 or 33 MHz conventional PCI performance. This parameter only affects the read data of bit 5 of the PCI Status Register and is otherwise unused Mandatory. Assigned by the user. Set to uniquely identify cards that use the same vendor ID and device ID Mandatory. Assigned by the user. Set to uniquely identify cards that use the same vendor ID and device ID Specifies how long a burst period the device needs assuming a clock rate of 33 MHz. Set to 0 to indicate no major requirements Specifies how often the PCI core needs access to the PCI bus. Set to 0 to indicate no major requirements. Reset default value for PCI-X Command Register Bits [6:4] (Maximum Outstanding Split Transactions) This parameter is returned as PCI-X Read Only Status Register bits [28:26] (Designed Maximum Cumulative Read Size) This parameter is returned as PCI-X Read Only Status Register bits [25:23] (Designed Maximum Outstanding Split Transactions) This parameter is returned as PCI-X Read Only Status Register bits [22:21] (Designed Maximum Memory Read Byte Count) This parameter is returned as PCI-X Read Only Status Register bit 20 (Device Complexity) This parameter is returned as PCI-X Read Only Status Register bit 17 (133 MHz capable). Set if the device supports PCI-X at 133 MHz. Clear for 66 MHz. The CorePCIX supports both 133 and 66 MHz. This bit indicates whether the place-and-route of CorePCIX was done to achieve 133 or 66 MHz. This parameter only affects the read data of bit 17 of the PCI-X Status Register and is otherwise unused. v2.0 13

14 Local Bus Interface The Local Bus Interface is divided into independent Initiator (Master) and Target (Slave) interfaces to make the local bus easy to use. Local Bus Initiator Signals The Local Bus initiator interface signals are described in Table 13. Table 13 Local Bus Initiator Interface Port Type Function init_enable init_req init_req_size_64_32_n init_cmd[3:0] init_addr[63:0] Note: Input Input Input Input * = port size for 64-bit CorePCIX / 32-bit CorePCIX respectively. Set if initiator operation has been enabled in the configuration register space; initiator requests will not be granted unless init_enable is 1 Assert to request that a new command be started; init_cmd, init_addr_64_32_n, init_addr, init_req_size_64_32_n, and init_attr must be valid when init_req is asserted. Local bus logic is free to change the command information on any clock cycle with init_req asserted or deasserted in order to elevate a higher priority command over a lower priority command. Once asserted, init_req must remain asserted at least until init_active is sampled asserted, at which time init_req may remain asserted to pipeline a new request or indicate the desire to do a very long transfer. If left asserted, init_req must be deasserted at latest combinatorially with init_trans_end if it is not desired to request the start of a new transaction Requested data transfer size; set for 64-bit, clear for 32-bit. This signal must always be 0 for 32-bit PCI-X/PCI cores PCI-X/PCI command; dual address cycle commands are indicated by init_addr_64_32_n and are never indicated on init_cmd init_addr contains either the PCI starting address for the command or a Split Completion Address depending upon init_cmd: PCI Starting Address (init_cmd!= Split Completion): If init_addr[63:32] is non-zero, then a 64-bit dual address cycle transaction will be generated using the full 64-bit address. If init_addr[63:32] is 0, then a 32-bit single address cycle transaction will be generated using init_addr[31:0]. Any access into the < 4 GB range and any nonmemory access must use a 32-bit address (as per PCI Specification). If a 64-bit transaction begins on a non-64-bit aligned address, then the transaction will be demoted to 32-bit (required for conventional PCI). This change is transparent to the Local Bus (data is still accepted on init_wr_data in the size requested via init_req_size_64_32_n) and will appear as if the target demoted the transaction to 32-bit. Alignment to a 64-bit boundary will be achieved at the first disconnect on an ADB and a subsequent transaction to complete the desired byte count would then be completed at the desired 64-bit size Split Completion Address (init_cmd == Split Completion): The init_addr contains the Split Completion Address which identifies the original requestor transaction. See PCI-X Specification section for information for forming the Split Completion Address. The init_addr[63:32] must be 0 in this case 14 v2.0

15 Table 13 Local Bus Initiator Interface (Continued) Port Type Function init_attr[35:0] Input Contains the attributes for the current transaction; byte enables are generated on data automatically going to the PCI bus by the core from init_attr[35:32], init_attr[7:0], and the starting address. This is true for both burst and nonburst (DWORD) commands. For nonburst transactions, the attributes are reformed by the core to include the byte enables in the relevant location The meaning of init_attr depends upon init_mode_pcix_pci_n and whether init_cmd is a Split Completion command PCI-X Mode (init_mode_pcix_pci_n == 1); Non-Split Completion Burst Transaction Encoded per the Burst Transaction Requester Attribute Bit Assignments in the PCI-X Specification: Bits {[35:32], [7:0]}: Requested number of bytes to transfer in this transaction; 0 is a special case and indicates 4,096 bytes Bit 31 (Reserved): Must be set to 0 by Local Bus Bit 30 (No Snoop): Set by Local Bus (in accordance with usage in PCI-X) Bit 29 (Relaxed Ordering): set by Local Bus (in accordance with usage in PCI-X) Bits [28:24] (Tag): set by Local Bus (in accordance with usage in PCI-X) Bits [23:16] (Requester Bus Number): These inputs are ignored by the core. The core will correctly set these PCI attribute bits from its configuration space values Bits [15:11] (Requester Device Number): These inputs are ignored by the core. The core will correctly set these PCI attribute bits from its configuration space values Bits [10:8] (Requester Function Number): These inputs are ignored by the core. The core will correctly set these PCI attribute bits from its configuration space values PCI-X Mode (init_mode_pcix_pci_n == 1); with Split Completion (Burst) Transaction Encoded as per the Completer Attribute Bit Assignments in the PCI-X Specification: Bits {[35:32], [7:0]}: Requested number of bytes to transfer in this transaction; 0 is a special case and indicates 4,096 bytes Bit 31 (Byte Count Modified): Set by Local Bus (in accordance with usage in PCI-X) Bit 30 (Split Completion Error): Set by Local Bus (in accordance with usage in PCI-X) Bit 29 (Split Completion Message) set by Local Bus (in accordance with usage in PCI-X) Bits [28:24] (Reserved): Must be set by Local Bus to 00000Bits Bits [23:16] (Completer Bus Number): These inputs are ignored by the core. The core will correctly set these PCI attribute bits from its configuration space values Bits [15:11] (Completer Device Number): These inputs are ignored by the core. The core will correctly set these PCI attribute bits from its configuration space values Bits [10:8] (Completer Function Number): These inputs are ignored by the core. The core will correctly set these PCI attribute bits from its configuration space values PCI Mode (init_mode_pcix_pci_n == 0); all commands Patterned after the Burst Transaction Requester Attribute Bit Assignments in the PCI-X Specification: Bits {[35:32], [7:0]}: Requested number of bytes to transfer in this transaction; 0 is a special case and indicates 4,096 bytes Bits [31:8] (Reserved): All fields are reserved. Set to 0x v2.0 15

16 Table 13 Local Bus Initiator Interface (Continued) Port Type Function init_gnt Asserted for one clock cycle in response to an asserted init_req to indicate that the transaction requested on the last clock cycle will be tried on the PCI bus as soon as PCI-X/PCI arbitration is successful init_trans_active Asserted when a local bus PCI transaction is actively being executed on the PCI-X/PCI bus init_trans_start Asserted for one clock to indicate that the PCI-X/PCI bus transaction has started init_trans_end Asserted for one clock to indicate that the PCI-X/PCI bus transaction has ended init_trans_status[4:0] init_data_req Note: * = port size for 64-bit CorePCIX / 32-bit CorePCIX respectively. Initiator transaction status; valid when init_end_trans is asserted 00001: Complete This termination condition occurs when the transaction ends and the entire requested bye count has transferred 00010: Incomplete This termination condition occurs when the transaction ends without transferring the entire requested bye count. The local bus must issue a new request to complete the transaction. This termination condition occurs on a target retry or initiator disconnect, target disconnect, or master latency timeout when all requested data did not transfer Split Response, Target Abort, and Master Abort also indicate an incomplete transaction; however, the Local Bus must take a different action than retrying the transaction where it last ended: 00110: Split_Response (PCI-X Only) The target ended the transaction with Split Response and has assumed responsibility for completing the transaction; the transaction should not be retried 01010: Target Abort The target ended the transaction with target abort; the transaction should not be retried 10010: Master Abort The target did not claim the transaction resulting in a master abort termination; the transaction should not be retried Local bus initiator continuation request. Initiator transactions are partitioned into 1 or more blocks along naturally 128-byte aligned addresses. This is in accordance with the allowable disconnect boundaries (ADBs) and ADB delimited quantum (ADQ) of the PCI-X specification and is true in both PCI-X and PCI modes of operation. A transaction starting and ending within the same 128-byte aligned address region will be completed in a single ADQ. A transaction that starts in one 128-byte aligned address region and ends in a different 128-byte aligned address region will be executed in two or more ADQ When a Local Bus initiator requests a new transaction, it must be capable of transferring a minimum of the lesser of the first 2 ADQ or the full transaction byte count (transactions beginning too close to an ADB cannot assert init_data_req and receive init_data_response in time to stop the transaction on the first ADB) When init_data_req is asserted, the Local Bus must respond to the request within four clocks by asserting a valid response on init_response. The init_data_req must be the direct output of a register in order for the core to meet PCI-X timing (all CorePCIX inputs should also be registered whenever possible) 16 v2.0

17 Table 13 Local Bus Initiator Interface (Continued) Port Type Function init_data_response[1:0] init_data_xfer_size_64_32_n init_wr_ack Note: Input * = port size for 64-bit CorePCIX / 32-bit CorePCIX respectively. Local bus initiator continuation response. Local Bus initiator logic must indicate a non-wait state value within four clocks of detecting init_data_req asserted to indicate the initiator's response to the current request. The init_response is encoded as follows: 00: Wait state; no response indicated; This is the default value 01: Data Transfer initiator is ready to provide data for the lesser of the entire next 128 byte block or the amount of data required to satisfy the transaction byte count 10: Disconnect at Next ADB initiator will not continue into the next block and requires a disconnect once the current block is complete 11: Reserved; must not be used Not all initiator responses may be used in all situations. User Local Bus initiator logic is required to adhere to the disconnect conditions permitted by the PCI and PCI-X Specifications Data transfer size; set for 64-bit, clear for 32-bit; indicates the size of the transaction as accepted by the PCI-X/PCI target device; this signal will always be 0 for 32-bit PCI-X/PCI cores; init_data_xfer_size_64_32_n is not valid until the target has asserted devsel_n and should only be used in conjunction with the data transfer signals (init_wr_xfer, init_rd_en); init_data_xfer_size_64_32_n is not used in conjunction with init_wr_ack; init_wr_ack always acknowledges data in the size requested by init_req_size_64_32_n Asserted during initiator write transactions when the core accepts one write data from the Local Bus; init_wr_ack is used to take data from the Local Bus but does not guarantee its transmission; init_wr_ack is not guaranteed to be continuously asserted for either PCI-X or PCI modes and may be gapped whenever the PCI core must prefetch data in preparation for a transaction start or when the target inserts a wait state; init_wr_ack always accepts data in the requested transaction size init_req_size_64_32_n init_wr_ack may be asserted for more clocks than required by the initiator byte count specified in init_attr; Local Bus logic must be designed to accommodate this without causing errors (i.e. AND init_wr_ack with ~fifo_empty, etc.) This requirement exists since it is difficult to stop init_wr_ack generation without compromising the ability to meet PCI-X timing v2.0 17

18 Table 13 Local Bus Initiator Interface (Continued) Port Type Function init_wr_xfer init_wr_last init_wr_data[63/31:0]* init_rd_en init_rd_last Note: Input * = port size for 64-bit CorePCIX / 32-bit CorePCIX respectively. Asserted if a previously accepted write data (via targ_wr_ack) transferred across the PCI-X/PCI bus; data must be accepted by the core before it is known whether the data phase containing the data will occur and complete; in order to support bursting at rate it is necessary to acknowledge data transfers on the Local Bus (so the Local Bus can immediately advance to the next value) before the transfer occurs; Local Bus initiator logic must use the end of transaction signal init_trans_end to reconcile the different amount of data acknowledged with init_wr_ack and transferred with init_wr_xfer if the Local Bus data path suffers adverse affects from having extra data consumed; There are two recommended ways to handle data recovery: For FIFOs, a convenient way to handle this discrepancy is to keep two address pointers for the Local Bus write data buffer; one pointer uses init_wr_ack and the other pointer uses init_wr_xfer; when init_trans_end is received, the pointer kept by init_wr_xfer is copied into the pointer kept by init_wr_ack; in this scheme the Local Bus must not overwrite data in the write data buffer until the data has been guaranteed to transfer via init_wr_xfer (init_wr_xfer should be used rather than init_wr_ack to alter the write FIFO level) Another option for FIFOs is to arrange their use such that the FIFO can be flushed at the end of all PCI-X transactions (init_trans_end asserted); this mechanism is simpler to implement but has reduced performance if transactions will often be disconnected before they are completed (PCI Bridge with small FIFOs; devices that frequently retry, etc.) init_wr_xfer indicates that data of size init_data_xfer_size_64_32_n transferred and may be different from the size acknowledged via init_wr_ack/init_req_size_64_32_n if the PCI-X/PCI target completes a 64-bit transaction as 32-bits Asserted coincident with the last init_wr_xfer in a transaction; this signal is useful for circuits implementing data aggregation logic from PCI-X 32/64-bit data into Local Bus 64-bit data since there is no guarantee that a target will end a transaction on a 64-bit boundary Write data; when the core asserts targ_wr_ack the current data has been accepted and the Local Bus must transition to the next data; init_wr_data must be valid from the clock following the assertion of INIT_GNT since the Local Bus cannot know when the PCI transaction will begin and the first data in conventional PCI mode must be taken from the Local Bus before init_trans_start is asserted For ease of connecting the core to FIFOs/RAM the core has been designed to accept data with a latency of 1; thus the data registered into the core is the data on init_wr_data the clock cycle immediately following a clock cycle with init_wr_ack asserted For 32-bit transactions targ_wr_data[31:0] carries the valid data and targ_wr_data[63:32] is ignored Asserted during initiator read transactions to transfer one read data of size init_data_xfer_size_64_32_n to the Local Bus; for PCI-X mode init_rd_en will be asserted continuously for the length of the transaction; for conventional PCI mode init_rd_en is not guaranteed to be continuously asserted and will be gapped whenever the target inserts a wait state; Local Bus logic must be designed to handle the more restrictive conventional PCI behavior Asserted coincident with the last init_rd_en in a transaction; this signal is useful for circuits implementing data aggregation logic from PCI-X 32/64-bit data into Local Bus 64-bit data since there is no guarantee that a target will end a transaction on a 64-bit boundary 18 v2.0

19 Table 13 Local Bus Initiator Interface (Continued) Port Type Function init_rd_be[7/3:0]* Note: * = port size for 64-bit CorePCIX / 32-bit CorePCIX respectively. Read data byte enables; generated by the core from the PCI starting address, byte count, and PCI target claimed data width; valid when init_rd_en is asserted; for 32-bit transactions (init_data_xfer_size_64_32_n = 0) init_rd_be[3:0] carries the valid byte enables and init_rd_be[7:4] is undefined; for 64-bit transactions (init_data_xfer_size_64_32_n = 1) init_rd_be[7:0] carries the data v2.0 19

20 Local Bus Initiator Operation The following section describes the operation of the local bus for initiator operations. Local Bus Initiator Burst Write Crossing an ADB in PCI-X Mode Figure 5 on page 21 illustrates Local Bus behavior for a 64-bit Local Bus initiator burst write transaction that crosses an ADB boundary when CorePCIX is operating in PCI-X mode. The target completes the transaction as a 64-bit transaction without requesting a disconnection. The transaction begins on clock cycle one when the Local Bus initiator issues init_req along with the desired command information. It is assumed that the starting address and attributes indicate a byte count that is completed with bit data transfers and that an ADB will be crossed after 10 data transfers. init_gnt is asserted with minimal timing to indicate that the transaction that was requested on clock cycle one will be attempted as soon as the PCI bus arbitration can be completed. init_trans_active is asserted on clock cycle 8 indicating to the Local Bus that the transaction has begun on the PCI bus. Also on clock cycle 8, CorePCIX begins prefetching initiator write data in preparation for placing the first several write data on the PCI bus. init_wr_data is provided to the core with a latency of one so the first write data is available on clock cycle nine. CorePCIX is not limited to begin prefetching on clock cycle eight and may begin prefetching any clock after the transaction has been granted via init_gnt. The first PCI data transfer occurs on clock cycle 11. The Local Bus is notified of the first data transfer two clocks later on clock cycle 13 via init_wr_xfer. The PCI-X core detects that an ADB is approaching and asserts init_data_req on clock cycle 14 to determine the Local Bus initiator's intentions for continuing into the next ADB. When the transaction is requested by the local bus, the first ADQ, or first two ADQ if the transaction address starts fewer than 10 transfers from an ADB, is authorized for transfer by the local bus. For transactions starting fewer than 10 transfers from an ADB, init_data_req is not asserted for the first ADB (starting the transaction indicates an implicit acceptance of at least the first two ADQ). For transactions starting fewer than 10 transfers from an ADB, init_data_req is not asserted for the first ADB (starting the transaction indicates an implicit acceptance of at least the first two ADQ). On clock cycle 17, the Local Bus initiator asserts the Data Transfer response (with maximum latency) on init_response indicating that it will guarantee that it can transfer all data in the next ADQ without requiring any wait states or a disconnect. Therefore, CorePCIX leaves pci_frame_n asserted on clock cycle 19, allowing the transaction to continue into the next ADQ. On clock cycle 21, CorePCIX deasserts pci_frame_n, since the transaction byte count will be satisfied after two more transfers. On clock cycle 24, the Local Bus is notified of the end of the PCI transaction via init_trans_end and reads the transaction status Complete on init_trans_status. This indicates the transaction was completed as requested by the Local Bus initiator. CorePCIX has received more data from the Local Bus target than it transferred across PCI. The Local Bus target must detect this condition through monitoring init_wr_ack and init_wr_xfer and correct its buffers to recover data that was acknowledged with init_wr_ack but not transferred as indicated by init_wr_xfer. If the Local Bus target data buffers can tolerate extra data being consumed (most applications except those involving FIFOs) then the Local Bus target logic does not need to monitor init_wr_xfer. The extra data read by CorePCIX is discarded when the transaction completes. 20 v2.0

21 Cycle <- ADB PCI_CLK PCI_REQn PCI_GNTn PCI_REQ64n PCI_FRAMEn PCI_IRDYn PCI_ACK64n PCI_DEVSELn PCI_TRDYn PCI_STOPn PCI_AD[63:32] PCI_AD[31:0] PCI_CBEn[7:4] PCI_CBEn[3:0] 0..0 D-1 D-3 D-5 D-7 D-9 D-11D-13 D-15 D-17 D-19D-21 D-23 ADDR ATTR D-0 D-2 D-4 D-6 D-8 D-10 D-12 D-14D-16 D-18D-20 D-22 B-1 B-3 B-5 B-7 B-9 B-11 B-13 B-15 B-17 B-19 B-21 B-23 CMD ATTR B-0 B-2 B-4 B-6 B-8 B-10 B-12 B-14 B-16 B-18 B-20 B-22 INIT_ENABLE INIT_REQ INIT_GNT INIT_REQ_SIZE_64_32_N INIT_CMD[3:0] CMD INIT_ADDR_64_32_N INIT_ADDR[63:0] INIT_ATTR[35:0] ADDR ATTR INIT_TRANS_ACTIVE INIT_TRANS_START INIT_TRANS_END INIT_TRANS_STATUS[4:0] STAT INIT_DATA_REQ INIT_RESPONSE[1:0] WAIT XFER WAIT INIT_DATA_XFER_SIZE_64_32_N INIT_WR_ACK INIT_WR_XFER INIT_WR_LAST INIT_WR_DATA[63:32] INIT_WR_DATA[31:0] D-1 D-3 D-5 D-7 D-9 D-11D-13 D-15 D-17 D-19 D-21 D-23 D-0 D-2 D-4 D-6 D-8 D-10 D-12 D-14 D-16 D-18 D-20 D-22 INIT_RD_EN INIT_RD_LAST INIT_RD_DATA[63:32] INIT_RD_DATA[31:0] INIT_RD_BE[7:4] INIT_RD_BE[3:0] Figure 5 Local Bus Initiator Burst Write Crossing an ADB in PCI-X Mode v2.0 21

22 Local Bus Initiator Burst Read Crossing an ADB in PCI-X Mode Figure 6 on page 23 illustrates Local Bus behavior for a 64-bit Local Bus initiator burst read transaction that crosses an ADB boundary when CorePCIX is operating in PCI-X mode. The target completes the transaction as a 64-bit transaction and without requesting a disconnection. The transaction begins in clock cycle one when the Local Bus initiator issues init_req along with the desired command information. It is assumed that the starting address and attributes indicate a byte count that is completed with bit data transfers and that an ADB will be crossed after 10 data transfers. init_gnt is asserted with minimal timing to indicate that the transaction that was requested on clock cycle one will be attempted as soon as the PCI bus arbitration can be completed. init_trans_active is asserted on clock cycle eight indicating to the Local Bus that the transaction has begun on the PCI bus. The first PCI data transfer occurs on clock cycle 11. The Local Bus is notified of the first data transfer two clocks later on clock cycle 13 via init_rd_en. The PCI-X core detects that an ADB is approaching and asserts init_data_req on clock cycle 14 to determine the Local Bus initiator's intentions for continuing into the next ADB. When the transaction is requested by the local bus, the first ADQ or first two ADQ if the transaction address starts fewer than 10 transfers from an ADB, is authorized for transfer by the local bus. For transactions starting fewer than 10 transfers from an ADB, init_data_req is not asserted for the first ADB (starting the transaction indicates an implicit acceptance of at least the first two ADQ). For transactions starting fewer than 10 transfers from an ADB, init_data_req is not asserted for the first ADB (starting the transaction indicates an implicit acceptance of at least the first two ADQ). On clock cycle 17 the Local Bus initiator asserts the Data Transfer response (with maximum latency) on init_response indicating that it will guarantee that it can transfer all data in the next ADQ without requiring any wait states or a disconnect. CorePCIX leaves pci_frame_n asserted on clock cycle 19, allowing the transaction to continue into the next ADQ. On clock cycle 21 CorePCIX deasserts pci_frame_n, since the transaction byte count will be satisfied after two more transfers. On clock cycle 24, the Local Bus is notified of the end of the PCI transaction via init_trans_end and reads the transaction status Complete on init_trans_status, indicating the transaction completed as requested by the Local Bus initiator. 22 v2.0

PCI Compliance Checklist

PCI Compliance Checklist PCI Compliance Checklist Actel CorePCIF v2.02 January 2006 Device is: Reserved () Device Address: 0x80000000 Vendor ID: 0x11AA Device ID: 0x6004 Revision 2.2 PCI Compliance Checklist Rev. 2.2 1 Component

More information

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a PCI-X Addendum to the PCI Compliance Checklist Revision 1.0a August 29, 2000 PCI-X Addendum to the PCI Compliance Checklist REVISION REVISION HISTORY DATE 1.0 Initial Release 3/1/00 1.0a Updates for PCI-X

More information

CorePCI v5.41. Product Summary. Contents. Synthesis and Simulation Support. Intended Use. Macro Verification and Compliance. Version.

CorePCI v5.41. Product Summary. Contents. Synthesis and Simulation Support. Intended Use. Macro Verification and Compliance. Version. Product Summary Intended Use Most Flexible High-Performance PCI Offering Target, Master, and Master/Target, which includes Target+DMA and Target+Master functions 33 MHz or 66 MHz Performance 32-Bit or

More information

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P Errata and Clarifications to the PCI-X Addendum, Revision 1.0a Update 3/12/01 Rev P REVISION REVISION HISTORY DATE P E1a-E6a, C1a-C12a 3/12/01 2 Table of Contents Table of Contents...3 Errata to PCI-X

More information

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0b

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0b PCI-X Addendum to the PCI Compliance Checklist Revision 1.0b April 16, 2003 PCI-X 1.0b Addendum to the PCI Compliance Checklist REVISION REVISION HISTORY DATE 1.0 Initial Release 3/1/00 1.0a Updates for

More information

Older PC Implementations

Older PC Implementations PCI Older PC Implementations 2 Newer PC Implementations 3 Server Platforms 4 PCI Overview 5 PCI Features 32-Bit or 64-Bit address and data 66 or 33 down to 0 MHz synchronous operation Single or multiple

More information

Typical System Implementation

Typical System Implementation PCI Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory Controller ISA Bus Bridge ISA Bus PCI Add-in Slots ISA Add-in Slots PCI 2 Desktop Platforms

More information

CorePCI Target+DMA Master 33/66MHz

CorePCI Target+DMA Master 33/66MHz Preliminary v1.0 CorePCI Target+DMA Master 33/66MHz Product Summary Intended Use High-Performance 33MHz or 66MHz PCI Target+DMA Master Applications 32-Bit, 33MHz, 3.3V or 5V fully compliant solution available

More information

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs Introducing QuickLogic s The Basics of PCI QuickPCI - The PCI Solution for System Needs Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory

More information

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP)

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) 128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) Features 128 Kb of dual-ported shared memory Master and target PCI Specification 2.2 compliant interface Embedded host bridge capability Direct interface

More information

Architecture Specification

Architecture Specification PCI-to-PCI Bridge Architecture Specification, Revision 1.2 June 9, 2003 PCI-to-PCI Bridge Architecture Specification Revision 1.1 December 18, 1998 Revision History REVISION ISSUE DATE COMMENTS 1.0 04/05/94

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 29, 2002July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections.

More information

128K Bit Dual-Port SRAM with PCI Bus Controller

128K Bit Dual-Port SRAM with PCI Bus Controller 9449PV PRELIMINARY Features 128K bits of dual-ported shared memory Master and Target PCI Specification 2.2 compliant interface Embedded host bridge capability Direct interface to many microprocessors I

More information

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0 PCI-X Addendum to the PCI Local Bus Specification Revision 1.0 September 22, 1999 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 The PCI Special Interest Group disclaims all warranties and

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections. 7/24/00

More information

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses PMC PCI-X v1108 Analyzer & Exerciser 66 MHz, 64 Bit Analyzer/Exerciser Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses 533 MBytes/Sec real-time continuous

More information

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0a

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0a PCI-X Addendum to the PCI Local Bus Specification Revision 1.0a July 24, 2000 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections. 7/24/00 The

More information

Introduction to the PCI Interface. Meeta Srivastav

Introduction to the PCI Interface. Meeta Srivastav Introduction to the PCI Interface Meeta Srivastav 4 th March, 2005 Talk layout BUS standards PCI Local Bus PCI protocol Special Cases Electrical and Mechanical Specifications Other Topics 2 Inside a Computer

More information

Errata History For PCI System Architecture, 4th Edition

Errata History For PCI System Architecture, 4th Edition Errata History For PCI System Architecture, 4th Edition Please note that the change history table below was started on 3/12/01. Changes made prior to that date are not reflected in the table but are contained

More information

CorePCI Target 33/66 MHz

CorePCI Target 33/66 MHz v2.0 CorePCI Target 33/66 MHz Product Summary Intended Use High-Performance PCI Target Applications 32-Bit, 66MHz, 3.3V 32-Bit, 33MHz, 5V or 3.3V Back-End Support for Synchronous DRAM, I/O Subsystems,

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

PCI-OPTO32B-CONTACT. User s Manual. 24 Input Bits. 8 Output Bits. -12V-CONTACT Optional. Opto Isolator Board

PCI-OPTO32B-CONTACT. User s Manual. 24 Input Bits. 8 Output Bits. -12V-CONTACT Optional. Opto Isolator Board PCI-OPTO32B-CONTACT User s Manual 24 Input Bits 8 Output Bits -12V-CONTACT Optional Opto Isolator Board 8302A Whitesburg Drive Huntsville, AL 35802 Phone: (256) 880-8787 Fax: (256) 880-8788 URL: www.generalstandards.com

More information

PCI / PMC / CPCI / PCI-X Bus Analysis

PCI / PMC / CPCI / PCI-X Bus Analysis PCI / PMC / CPCI / PCI-X Bus Analysis Analyzer Exerciser Stimulus Target Anomaly Performance Compliance 850 System Analyzer/Exerciser Silicon Control Inc. introduces the ultimate analyzer and exerciser

More information

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses CPCI PCI-X v1108 Analyzer & Exerciser 100 MHz PCI-X Analyzer/66MHz Exerciser 66 MHz, 64 Bit CPCI Analyzer/Exerciser 664 MHz Timing Analyzer Effective 10 GHz (100 Ps) setup & hold timing violations detector

More information

PI7C8140A 2-Port PCI-to-PCI Bridge REVISION 1.01

PI7C8140A 2-Port PCI-to-PCI Bridge REVISION 1.01 2-Port PCI-to-PCI Bridge REVISION 1.01 3545 North First Street, San Jose, CA 95134 Telephone: 1-877-PERICOM, (1-877-737-4266) Fax: 408-435-1100 Internet: http://www.pericom.com LIFE SUPPORT POLICY Pericom

More information

PCI and PCI Express Bus Architecture

PCI and PCI Express Bus Architecture PCI and PCI Express Bus Architecture Computer Science & Engineering Department Arizona State University Tempe, AZ 85287 Dr. Yann-Hang Lee yhlee@asu.edu (480) 727-7507 7/23 Buses in PC-XT and PC-AT ISA

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

21154 PCI-to-PCI Bridge Configuration

21154 PCI-to-PCI Bridge Configuration 21154 PCI-to-PCI Bridge Configuration Application Note October 1998 Order Number: 278080-001 Information in this document is provided in connection with Intel products. No license, express or implied,

More information

LogiCORE IP Initiator/Target v5 and v6 for PCI-X

LogiCORE IP Initiator/Target v5 and v6 for PCI-X LogiCORE IP Initiator/Target v5 and v6 for PCI-X DS208 April 19, 2010 Introduction The LogiCORE IP Initiator/Target v5 and v6 for PCI -X core interface is a pre-implemented and fully tested module for

More information

FW A PCI PHY/Link Open Host Controller Interface

FW A PCI PHY/Link Open Host Controller Interface Data Sheet, Rev. 1 1394A PCI PHY/Link Open Host Controller Interface Features 1394a-2000 OHCI link and PHY core function in single device: Enables smaller, simpler, more efficient motherboard and add-in

More information

PCI Bus Quick Reference by Doug Abbott

PCI Bus Quick Reference by Doug Abbott PCI Bus Quick Reference by Doug Abbott This quick reference to the PCI Bus is based on the information contained in PCI BUS DEMYSTIFIED by Doug Abbott, published by LLH Technology Publishing. To order

More information

Errata history for PCI-X System Architecture, 1st Edition. Page Severity Description

Errata history for PCI-X System Architecture, 1st Edition. Page Severity Description pcixerratachangehistory.fm Page 1 Tuesday, September 2, 2003 1:48 PM Errata history for PCI-X System Architecture, 1st Edition. Change Date Page Severity Description 3/12/01 109 Medium Affects both the

More information

FW A PCI PHY/Link Open Host Controller Interface

FW A PCI PHY/Link Open Host Controller Interface Data Sheet, Rev. 2 FW323 05 1394A PCI PHY/Link Open Host Controller Interface Features 1394a-2000 OHCI link and PHY core function in single device: Enables smaller, simpler, more efficient motherboard

More information

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 July 14, 03 REVISION REVISION HISTORY DATE 1.0 Initial release 7/14/03 PCI-SIG disclaims all warranties and liability for the use of this document

More information

Tsi352 PCI-to-PCI Bridge User Manual

Tsi352 PCI-to-PCI Bridge User Manual Tsi352 PCI-to-PCI Bridge User Manual September 5, 2009 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc.

More information

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation 0 PCI Arbiter (v1.00a) DS495 April 8, 2009 0 0 Introduction The PCI Arbiter provides arbitration for two to eight PCI master agents. Parametric selection determines the number of masters competing for

More information

Agilent Technologies E2929B PCI-X Exerciser and Analyzer. Technical Overview. Key Specifications

Agilent Technologies E2929B PCI-X Exerciser and Analyzer. Technical Overview. Key Specifications Agilent Technologies E2929B PCI-X Exerciser and Analyzer Technical Overview Key Specifications O to 133.4 MHz clock speed 64 bit data and addressing Exerciser (option #300) with full capabilities, including

More information

Application Note Features A[31:28] GLUE LOGIC (PLD) A[2] [Gnd:Vcc] Figure 1. TMS320C6202 to PCI Subsystem

Application Note Features A[31:28] GLUE LOGIC (PLD) A[2] [Gnd:Vcc] Figure 1. TMS320C6202 to PCI Subsystem PCI 9054/C6202 AN July 31, 2000 Texas Instruments TMS320C6202 DSP to PCI 9054 Version 2.0 Application Note Features Preliminary Application Note for designing a PCI adapter or embedded system based on

More information

PI7C7300D 3-PORT PCI-to-PCI BRIDGE Revision 1.01

PI7C7300D 3-PORT PCI-to-PCI BRIDGE Revision 1.01 3-PORT PCI-to-PCI BRIDGE Revision 1.01 3545 North 1 ST Street, San Jose, CA 95134 Telephone: 1-877-PERICOM (1-877-737-4266) FAX: 408-435-1100 Internet: http://www.pericom.com LIFE SUPPORT POLICY Pericom

More information

PC87200 PCI to ISA Bridge

PC87200 PCI to ISA Bridge PC87200 PCI to ISA Bridge 1.0 General Description The PC87200 Enhanced Integrated PCI-to-ISA bridge works with an LPC chipset to provide ISA slot support. It is a complement to the National Semiconductor

More information

5 MEMORY. Overview. Figure 5-0. Table 5-0. Listing 5-0.

5 MEMORY. Overview. Figure 5-0. Table 5-0. Listing 5-0. 5 MEMORY Figure 5-0. Table 5-0. Listing 5-0. Overview The ADSP-2191 contains a large internal memory and provides access to external memory through the DSP s external port. This chapter describes the internal

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

55:131 Introduction to VLSI Design Project #3 -- Fall 2010 64-bit PCI Target with EDAC Due Date: Friday November 19, 2010 Introduction In this project we will modify the PCI Target from project 2 to change

More information

PEX 8114BA PCI Express-to-PCI/PCI-X Bridge. Errata Documentation. Product Revision Description Status

PEX 8114BA PCI Express-to-PCI/PCI-X Bridge. Errata Documentation. Product Revision Description Status PEX 8114BA Errata Documentation Revision 2.2 March, 2011 PEX 8114BA PCI Express-to-PCI/PCI-X Bridge Errata Documentation A. Affected Silicon Revision This document details Errata for the following silicon:

More information

The PCI 9054 has Direct Master, DMA and Direct Slave Hitachi SH bit RISC Processor

The PCI 9054 has Direct Master, DMA and Direct Slave Hitachi SH bit RISC Processor PCI 9054/SH7709 AN July 2, 1999 SH7709 to PCI 9054 Version 1.0 Application Note Features General Description PLX Technology PCI 9054, PCI v2.2 compliant 32 bit, 33MHz PCI bus Master I/O Accelerator with

More information

CPCI-SIP-PLX. Slave 2- IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL Version 1.2 August 2008

CPCI-SIP-PLX. Slave 2- IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL Version 1.2 August 2008 CPCI-SIP-PLX Slave 2- IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL 824-12-000-4000 Version 1.2 August 2008 ALPHI TECHNOLOGY CORPORATION 1898 E. Southern Avenue Tempe, AZ 85282 USA Tel:

More information

PI7C8152A & PI7C8152B 2-Port PCI-to-PCI Bridge REVISION 1.11

PI7C8152A & PI7C8152B 2-Port PCI-to-PCI Bridge REVISION 1.11 2-Port PCI-to-PCI Bridge REVISION 1.11 2380 Bering Drive, San Jose, CA 95131 Telephone: 1-877-PERICOM, (1-877-737-4266) Fax: 408-435-1100 Email: solutions@pericom.com Internet: http://www.pericom.com LIFE

More information

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University EE108B Lecture 17 I/O Buses and Interfacing to CPU Christos Kozyrakis Stanford University http://eeclass.stanford.edu/ee108b 1 Announcements Remaining deliverables PA2.2. today HW4 on 3/13 Lab4 on 3/19

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Galileo GT System Controller for PowerPC Processors FEATURES. Product Review Revision 1.1 DEC 15, 1999

Galileo GT System Controller for PowerPC Processors FEATURES. Product Review Revision 1.1 DEC 15, 1999 Galileo GT-64130 System Controller for PowerPC Processors Product Review Revision 1.1 DEC 15, 1999 Please contact Galileo Technology for possible updates before finalizing a design. FEATURES Integrated

More information

FW a PCI PHY/Link Open Host Controller Interface

FW a PCI PHY/Link Open Host Controller Interface Data Sheet, Rev. 1 FW323 06 1394a PCI PHY/Link Open Host Controller Interface Features 128-pin TQFP lead-free package 1394a-2000 OHCI link and PHY core function in a single device: Single-chip link and

More information

PCI Bus Prototyping Card (2)

PCI Bus Prototyping Card (2) Bus Prototyping Card (2) developments from ISA to By B.Kluth and C.Kluth (B&C Kluth GbR) Ever since IBM-compatible PCs have been available, a new bus system has been introduced each time there was a major

More information

TPCE260. PCI Express PMC Carrier. Version 1.0. User Manual. Issue August 2014

TPCE260. PCI Express PMC Carrier. Version 1.0. User Manual. Issue August 2014 The Embedded I/O Company TPCE260 PCI Express PMC Carrier Version 1.0 User Manual Issue 1.0.1 August 2014 TEWS TECHNOLOGIES GmbH Am Bahnhof 7 25469 Halstenbek, Germany Phone: +49 (0) 4101 4058 0 Fax: +49

More information

Embedded Systems Programming

Embedded Systems Programming Embedded Systems Programming x86 System Architecture and PCI Bus (Module 9) Yann-Hang Lee Arizona State University yhlee@asu.edu (480) 727-7507 Summer 2014 Interrupt in 8086 Two pins: NMI and INTR Interrupt

More information

CARDBUS INTERFACE USER MANUAL

CARDBUS INTERFACE USER MANUAL CARDBUS INTERFACE USER MANUAL 1 Scope The COM-13xx ComBlock modules are PC cards which support communication with a host computer through a standard CardBus interface. These ComBlock modules can be used

More information

USING LOGICORETM MODULES FOR PCI CARD DESIGN

USING LOGICORETM MODULES FOR PCI CARD DESIGN USING LOGICORETM MODULES FOR PCI CARD DESIGN Bradly Fawcett, Steven Knapp, and Gary Lawman Xilinx Inc. 2100 Logic Drive San Jose. CA 95124 E-mail: pci@xilinx.com (PCP-139) ABSTRACT Intellectual property

More information

PCI Bus Master Interface Chip for Adapters and Embedded Systems. Figure 1. Typical Adapter or Embedded System Block Diagram

PCI Bus Master Interface Chip for Adapters and Embedded Systems. Figure 1. Typical Adapter or Embedded System Block Diagram PCI 9060 December, 1995 PCI Bus Master Interface Chip for VERSION 1.2 Adapters and Embedded Systems Features General Description PCI Bus Master Interface supporting adapters and embedded systems Two independent

More information

PCI Local Bus Specification Revision 3.0. June 2002JuneDecember 5February 3, , 2002

PCI Local Bus Specification Revision 3.0. June 2002JuneDecember 5February 3, , 2002 PCI Local Bus Specification Revision 3.0 June 2002JuneDecember 5February 3, 20043 28, 2002 REVISION REVISION HISTORY DATE 1.0 Original issue. 6/22/92 2.0 Incorporated connector and add-in card specification.

More information

pcit1 PCI Target MegaCore Function

pcit1 PCI Target MegaCore Function pcit1 PCI Target MegaCore Function July 1998, ver. 1.01 Data Sheet Features Parameterized pcit1 MegaCore function implementing a 32-bit, 33-MHz peripheral component interconnect (PCI) target interface

More information

PCI Local Bus Specification

PCI Local Bus Specification PCI Local Bus Specification Revision 2.2 December 18, 1998 REVISION REVISION HISTORY DATE 1.0 Original issue 6/22/92 2.0 Incorporated connector and expansion board specification 4/30/93 2.1 Incorporated

More information

Universal Serial Bus Host Interface on an FPGA

Universal Serial Bus Host Interface on an FPGA Universal Serial Bus Host Interface on an FPGA Application Note For many years, designers have yearned for a general-purpose, high-performance serial communication protocol. The RS-232 and its derivatives

More information

08 - Address Generator Unit (AGU)

08 - Address Generator Unit (AGU) October 2, 2014 Todays lecture Memory subsystem Address Generator Unit (AGU) Schedule change A new lecture has been entered into the schedule (to compensate for the lost lecture last week) Memory subsystem

More information

TMS320C645x DSP Peripheral Component Interconnect (PCI) User's Guide

TMS320C645x DSP Peripheral Component Interconnect (PCI) User's Guide TMS320C645x DSP Peripheral Component Interconnect (PCI) User's Guide Literature Number: SPRUE60B March 2006 Revised July 2010 2 Preface... 9 1 Overview... 10 2 PCI Architecture... 12 2.1 Address Decoder...

More information

High-Speed SDR SDRAM Controller Core for Actel FPGAs. Introduction. Features. Product Brief Version 1.0 November 2002

High-Speed SDR SDRAM Controller Core for Actel FPGAs. Introduction. Features. Product Brief Version 1.0 November 2002 Introduction Complementing the high-speed communication solutions from MorethanIP, the High- Speed SDRAM Controller offers storage extension for memory critical applications. For example with packet-based

More information

PCI-4IPM Revision C. Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA

PCI-4IPM Revision C. Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA PCI-4IPM Revision C Second Generation Intelligent IP Carrier for PCI Systems Up to Four IndustryPack Modules Dual Ported SRAM, Bus Master DMA REFERENCE MANUAL 781-21-000-4000 Version 2.1 April 2003 ALPHI

More information

2-megabit Firmware Hub and Low-Pin Count Flash Memory AT49LH002. Features. Description. Pin Configurations

2-megabit Firmware Hub and Low-Pin Count Flash Memory AT49LH002. Features. Description. Pin Configurations Features Complies with Intel Low-Pin Count (LPC) Interface Specification Revision 1.1 Supports both Firmware Hub (FWH) and LPC Memory Read and Write Cycles Auto-detection of FWH and LPC Memory Cycles Can

More information

INTEL 380FB PCISET: 82380AB MOBILE PCI-TO-ISA BRIDGE (MISA)

INTEL 380FB PCISET: 82380AB MOBILE PCI-TO-ISA BRIDGE (MISA) INTEL 380FB PCISET: 82380AB MOBILE PCI-TO-ISA BRIDGE (MISA) PCI Bus at 25 MHz to 33 MHz ISA Bus at 7.5 MHz to 8.33 MHz 5 Volt ISA and PCI Interfaces Full ISA Support Including ISA Masters PC/PCI DMA Protocol

More information

Computer Architecture

Computer Architecture Computer Architecture PCI and PCI Express 2018. február 22. Budapest Gábor Horváth associate professor BUTE Dept. of Networked Systems and Services ghorvath@hit.bme.hu 2 The PCI standard PCI = Peripheral

More information

LogiCORE IP AXI DataMover v3.00a

LogiCORE IP AXI DataMover v3.00a LogiCORE IP AXI DataMover v3.00a Product Guide Table of Contents SECTION I: SUMMARY IP Facts Chapter 1: Overview Operating System Requirements..................................................... 7 Feature

More information

2. System Interconnect Fabric for Memory-Mapped Interfaces

2. System Interconnect Fabric for Memory-Mapped Interfaces 2. System Interconnect Fabric for Memory-Mapped Interfaces QII54003-8.1.0 Introduction The system interconnect fabric for memory-mapped interfaces is a high-bandwidth interconnect structure for connecting

More information

AMD-8131 TM HyperTransport TM PCI-X Tunnel Revision Guide

AMD-8131 TM HyperTransport TM PCI-X Tunnel Revision Guide AMD-8131 TM HyperTransport TM PCI-X Tunnel Revision Guide Publication # 26310 Rev: 3.16 Issue Date: March 2006 2003 2006 Advanced Micro Devices, Inc. All rights reserved. The contents of this document

More information

4-megabit Top Boot, Bottom Partitioned Firmware Hub and Low-Pin Count Flash Memory AT49LH00B4

4-megabit Top Boot, Bottom Partitioned Firmware Hub and Low-Pin Count Flash Memory AT49LH00B4 Features Complies with Intel Low-Pin Count (LPC) Interface Specification Revision 1.1 Supports both Firmware Hub (FWH) and LPC Memory Read and Write Cycles Auto-detection of FWH and LPC Memory Cycles Can

More information

32-Bit Initiator/Target v3 & v4 for PCI

32-Bit Initiator/Target v3 & v4 for PCI om 0 32-Bit Initiator/Target v3 & v4 for PCI DS206 September 19, 2008 0 0 Features Fully compliant 32-bit, 66/33 MHz Initiator/Target core for PCI Customizable, programmable, single-chip solution Pre-defined

More information

Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express

Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express Lecture 2 Bus (Väylä) Stallings: Ch 3 What moves on Bus? Bus characteristics PCI-bus PCI Express Bus (Sta06 Fig 3.16) For communication with and between devices Broadcast (yleislähetys): most common Everybody

More information

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy Chapter 5B Large and Fast: Exploiting Memory Hierarchy One Transistor Dynamic RAM 1-T DRAM Cell word access transistor V REF TiN top electrode (V REF ) Ta 2 O 5 dielectric bit Storage capacitor (FET gate,

More information

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China

PCI Example. User Guide. 02/2014 Capital Microelectronics, Inc. China PCI Example User Guide 02/2014 Capital Microelectronics, Inc. China Contents Contents... 2 1 Introduction... 3 2 PCI Target IP Core Overview... 4 2.1 PCI Target IP Core Diagram... 4 2.1.1 Overview... 4

More information

PCI Local Bus Specification. Production Version

PCI Local Bus Specification. Production Version PCI Local Bus Specification Production Version Revision 2.1 June 1, 1995 REVISION REVISION HISTORY DATE 1.0 Original issue 6/22/92 2.0 Incorporated connector and expansion board specification 4/30/93 2.1

More information

VME64M VME64 MASTER CONTROLLER. Version 1.1

VME64M VME64 MASTER CONTROLLER. Version 1.1 Datasheet VME64M VME64 MASTER CONTROLLER Version 1.1 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com C O P Y R I G H T

More information

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness EE 352 Unit 10 Memory System Overview SRAM vs. DRAM DMA & Endian-ness The Memory Wall Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology

More information

82558 Fast Ethernet PCI Bus Controller with Integrated PHY

82558 Fast Ethernet PCI Bus Controller with Integrated PHY Fast Ethernet PCI Bus Controller with Integrated PHY Networking Silicon Product Features Provides IEEE 802.3/802.3u 10BASE-T and 100BASE-TX compatibility Provides glueless 32-bit PCI bus master interface

More information

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017)

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) PCI Host Controller 14a Hardware Reference 1 PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the PCI bus according to the PCI/104 specification.

More information

PCI 6140 (HB1) PCI-to-PCI Bridge Data Book

PCI 6140 (HB1) PCI-to-PCI Bridge Data Book PCI 6140 (HB1) PCI-to-PCI Bridge Data Book PCI 6140 (HB1) PCI-to-PCI Bridge Data Book Version 2.0 May 2003 Website: http://www.plxtech.com Technical Support: http://www.plxtech.com/support Phone: 408

More information

PCI-HPDI32A PCI-HPDI32A-PECL PMC-HPDI32A PMC-HPDI32A-PECL

PCI-HPDI32A PCI-HPDI32A-PECL PMC-HPDI32A PMC-HPDI32A-PECL PCI-HPDI32A PCI-HPDI32A-PECL PMC-HPDI32A PMC-HPDI32A-PECL 32-Bit High-Speed Parallel Digital Interface User Manual 8302A Whitesburg Drive Huntsville, AL 35802 Phone: (256) 880-8787 Fax: (256) 880-8788

More information

Optimizing the PCI Interface Configuration for the RC32336

Optimizing the PCI Interface Configuration for the RC32336 Optimizing the PCI Interface Configuration for the RC32336 Application Note AN-436 Revision History June 10, 2003: Initial publication. By Rakesh Bhatia Background The RC32336 device is a member of the

More information

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices School of Engineering, University of Guelph Winter 2017 1 Objectives: The purpose of this lab is : Learn basic bus design techniques.

More information

RISCore32300 TM Family System Controller Chip

RISCore32300 TM Family System Controller Chip RISCore32300 TM Family System Controller Chip IDT79RC32134 Features RC32300-family System Controller Direct connection between RC32364 and RC32134 Up to 75 MHz operation Drives latched address bus to memory

More information

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University Chapter 3 Top Level View of Computer Function and Interconnection Contents Computer Components Computer Function Interconnection Structures Bus Interconnection PCI 3-2 Program Concept Computer components

More information

LOW PIN COUNT (LPC) INTERFACE SPECIFICATION

LOW PIN COUNT (LPC) INTERFACE SPECIFICATION LOW PIN COUNT (LPC) INTERFACE SPECIFICATION Revision 1.0 September 29, 1997 Intel may have patents and/or patent applications related to the various Low Pin Count interfaces described in the Low Pin Count

More information

4-megabit Firmware Hub and Low-Pin Count Flash Memory AT49LH004. Not Recommended for New Design

4-megabit Firmware Hub and Low-Pin Count Flash Memory AT49LH004. Not Recommended for New Design Features Complies with Intel Low-Pin Count (LPC) Interface Specification Revision 1.1 Supports both Firmware Hub (FWH) and LPC Memory Read and Write Cycles Auto-detection of FWH and LPC Memory Cycles Can

More information

PCI to SH-3 AN Hitachi SH3 to PCI bus

PCI to SH-3 AN Hitachi SH3 to PCI bus PCI to SH-3 AN Hitachi SH3 to PCI bus Version 1.0 Application Note FEATURES GENERAL DESCRIPTION Complete Application Note for designing a PCI adapter or embedded system based on the Hitachi SH-3 including:

More information

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 5 Input/Output Organization Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Accessing I/O Devices Interrupts Direct Memory Access Buses Interface

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

TPMC Channel Motion Control. User Manual. The Embedded I/O Company. Version 1.0. Issue 1.3 March 2003 D

TPMC Channel Motion Control. User Manual. The Embedded I/O Company. Version 1.0. Issue 1.3 March 2003 D The Embedded I/O Company TPMC118 6 Channel Motion Control Version 1.0 User Manual Issue 1.3 March 2003 D76118800 TEWS TECHNOLOGIES GmbH Am Bahnhof 7 25469 Halstenbek / Germany Phone: +49-(0)4101-4058-0

More information

PCI. Contents. The PCI Bus. Configuration Space. 1 of /14/ :55 PM. Configuration Mechanism #1.

PCI. Contents. The PCI Bus. Configuration Space. 1 of /14/ :55 PM. Configuration Mechanism #1. PCI From OSDev Wiki Contents 1 The PCI Bus 2 Configuration Space 2.1 Configuration Mechanism #1 2.2 PCI Device Structure 2.3 Base Address Registers 2.4 Class Codes 3 Enumerating PCI Buses 3.1 "Brute Force"

More information

TMS320C642x DSP Peripheral Component Interconnect (PCI) User's Guide

TMS320C642x DSP Peripheral Component Interconnect (PCI) User's Guide TMS320C642x DSP Peripheral Component Interconnect (PCI) User's Guide Literature Number: SPRUEN3C May 2010 2 Preface... 8 1 Introduction... 9 1.1 Purpose of the Peripheral... 9 1.2 Features... 9 1.3 Features

More information

Best Practices for Incremental Compilation Partitions and Floorplan Assignments

Best Practices for Incremental Compilation Partitions and Floorplan Assignments Best Practices for Incremental Compilation Partitions and Floorplan Assignments December 2007, ver. 1.0 Application Note 470 Introduction The Quartus II incremental compilation feature allows you to partition

More information

TA660A / TA660CA PCI / CPCI. User s Manual

TA660A / TA660CA PCI / CPCI. User s Manual TA660A / TA660CA PCI / CPCI BUS Analyzer-Exerciser User s Manual Revision 4.0, Compatible with Software Revision 4.X Catalyst Enterprises, Inc. 1439 Torrington Court San Jose, CA. 95120 Phone 408.268.4145

More information

Virtex-7 FPGA Gen3 Integrated Block for PCI Express

Virtex-7 FPGA Gen3 Integrated Block for PCI Express Virtex-7 FPGA Gen3 Integrated Block for PCI Express Product Guide Table of Contents Chapter 1: Overview Feature Summary.................................................................. 9 Applications......................................................................

More information

LogiCORE IP Initiator/Target v3.167 for PCI

LogiCORE IP Initiator/Target v3.167 for PCI LogiCORE IP Initiator/Target v3.167 for PCI User Guide R Xilinx is providing this product documentation, hereinafter Information, to you AS IS with no warranty of any kind, express or implied. Xilinx makes

More information

EE414 Embedded Systems Ch 5. Memory Part 2/2

EE414 Embedded Systems Ch 5. Memory Part 2/2 EE414 Embedded Systems Ch 5. Memory Part 2/2 Byung Kook Kim School of Electrical Engineering Korea Advanced Institute of Science and Technology Overview 6.1 introduction 6.2 Memory Write Ability and Storage

More information