Rechnerarchitektur (RA)

Size: px
Start display at page:

Download "Rechnerarchitektur (RA)"

Transcription

1 12 Rechnerarchitektur (RA) Sommersemester 2017 Flash Memory 2017/07/12 Jian-Jia Chen (Slides are based on Tei-Wei Kuo and Yuan-Hao Chang) Informatik 12 Tel.:

2 Mögliche Stufen der Speicherhierarchie und derzeit eingesetzte Technologien Tertiärspeicher (Optische Speicher, Bänder) Sekundärspeicher (Platten, Flash) Plattencaches (DRAM, Flash) Haupt- bzw. Primärspeicher (DRAM) Caches, scratch pads, TLBs (SRAM) Register (SRAM) 12,

3 Why Flash Memory Diversified Application Domains Portable Storage Devices Consumer Electronics Industrial Applications Critical System Components Solid State Disks (SSD) 12,

4 Layout of Flash Memory 0 63 Block: basic eraseoperation unit. 0 (2KB + 64 Byte) (2KB + 64 Byte) (2KB + 64 Byte) (2KB + 64 Byte) Page: basic writeoperation unit (2KB + 64 Byte) (2KB + 64 Byte) 128MB Flash Memory 12,

5 Characteristics of Flash Memory Write-Once No writing on the same page unless its residing block is erased! Pages are classified into valid, invalid, and free pages. Bulk-Erasing Pages are erased in a block unit to recycle used but invalid pages. Wear-Leveling Each block has a limited lifetime in erasing cycles. E.g., 10,000 ~ 100,000 erase cycles for each block 12,

6 Terminology Valid data: the latest version of data stored in flash Invalid data: not the latest version of data stored in flash Live page: a page that stores valid data Dead page: a page that stores invalid data Free page: a page that is erased and is ready to store data Free block: a block that is erased and is not allocated to store any data Hot data: frequently updated data Valid hot data might become invalid in the near future. Cold data: non-frequently updated data Valid cold data might stay in the same place for a long time. 12,

7 Management Issues Flash-Memory Example 1: Out-place Update Characteristics A B C D A B Dead pages 12,

8 Management Issues Flash-Memory Example 2: Garbage Collection Characteristics L D D L D D L D L L D L L L F D L F L L L L D F F L L F L L F D This block is to be recycled. (3 live pages and 5 dead pages) A live page A dead page A free page 12,

9 Management Issues Flash-Memory Example 2: Garbage Collection Characteristics D D D D D D D D L L D L L L L D Live data are copied to somewhere else. L F L L L L D L L L L F L L F D A live page A dead page A free page 12,

10 Management Issues Flash-Memory Example 2: Garbage Collection Characteristics F F F F F F F F L L D L L L L D L F L L L L D L The block is then erased. Overheads: live data copying block erasing. L L L F L L F D A live page A dead page 12,

11 Management Issues Flash-Memory Example 3: Wear-Leveling Characteristics L D D L D D L D L L D L L L F D A B Wear-leveling might interfere with the decisions of the blockrecycling policy L F L L L L D F F L L F L L F D C D A live page A dead page A free page Erase cycle counts 12,

12 Single-Level Cell (SLC) vs. Multiple-Level Cell (MLC) A limited bound on erase cycles SLC : 100,000 SLC Flash MLC x2 : 10,000 Bit error probability 64 SLC: 10-9 MB 1 0 MLC: 10-6 a) BILEVEL (1 BIT/CELL) MLC Flash NUMBER OF CELLS LOGIC STATE V T 128 MB NUMBER OF CELLS b) MULTILEVEL (2 BIT/CELL) LOGIC STATE V T 12,

13 MLC vs. SLC (Cont.) Electronic Engineering Times, July ,

14 Price and Read/Write Performance NOR NAND SLC NAND MLC x2 Price $/GB 6.79 $/GB 2.48 $/GB Read MB/sec MB/sec 13.5 MB/sec Write 0.07 MB/sec 4.57 MB/sec 2.34 MB/sec Erase 0.22 MB/sec MB/sec MB/sec *NOR: Silicon Storage Technology (SST). NAND SLC: Samsung Electronics. K9F1G08Q0M. NAND MLCx2: ST STMicroelectronics [1,2] 1. Jian-Hong Lin, Yuan-Hao Chang, Jen-Wei Hsieh, Tei-Wei Kuo, and Cheng-Chih Yang, "A NOR Emulation Strategy over NAND Flash Memory," the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), Daegu, Korea, August 21-24, Yuan-Hao Chang and Tei-Wei Kuo, A Log-based Management Scheme for Low-cost Flash-memory Storage Systems of Embedded Systems 12,

15 Flash Memory Management Management issues Write constraints imposed by flash memory Scalability issue Garbage collection Performance considerations Reliability issues Cell error rate problem imposed by MLC flash memory Error correction coding vs. wear leveling Read/write disturbance problem Data retention problem 12,

16 Typical System Architecture Application 1 Application 2... Application n fwrite (file, data) Shared Library Virtual File System (VFS)... Native Flash File System Layer (e.g., JFFS2, YAFFS) File-system Management Unit Allocator Address Translation / Block Assignment File Systems (e.g., DOS FAT) Flash Translation Layer (FTL) Allocator Address Translation / Block Assignment flash write (block, page) Control single Cleaner Garbage Collection Wear Leveler Cleaner Garbage Collection Memory Technology Device Layer (MTD) Flash Memory Wear Leveler 12,

17 Flash Translation Layer (FTL) FTL adopts a page-level address translation mechanism. The main problem of FTL is on large memory space requirements for storing the address translation information. Access LBA = 3 Logical Block Address (array index) Physical Block Address (block,page) Address Translation Table (in main-memory)... (1,3) (1,2) (2,1) (1,0) (4,7) (0,4) (0,6) (0,1) (0,3) Physical Block Address (block,page)... 1,3 1,2 1,1 1,0 0,7 0,6 0,5 0,4 0,3 0,2 0,1 0,0 User data Spare data Flash memory Spare data LBA=3; ECC=...; Status=...; 12,

18 NAND Flash Translation Layer (NFTL) A logical address under NFTL is divided into a virtual block address and a block offset. e.g., LBA=1019 => virtual block address (VBA) = 1019 / 8 = 127 and block offset = 1019 % 8 = 3 Write data to LBA=1019 VBA=127 NFTL Address Translation Table (in main-memory)... (9,23)... Block Offset=3 A Primary Block Address = 9 Free Free Free Used Free If the page Free has been Free Write to the used Free first free page Free Free Free A Replacement Block Address = 23 Used Used Used Free Free 12,

19 FTL or NFTL FTL NFTL Memory Space Requirements Large Small Address Translation Time Short Long Garbage Collection Overhead Less More Space Utilization High Low The memory-space requirements for one 1GB NAND (2KB/Page, 4B/Table Entry, 128 Pages/Block) FTL: 2MB (= 4*(1024*1024*1024)/2K) NFTL: 32KB (= 2*4*(1024*1024*1024)/(2K * 128)) 12,

20 Size of Translation Tables 1GB 32GB 1TB 32TB FTL 2MB 64MB 2GB 64GB NFTL 32KB 1MB 32MB 1GB No matter which kind of granularity of address translation is adopted, the fast growing flash memory capacity would eventually make the translation table too large to be fitted in RAM. 12,

21 New Write Constraints of MLC Flash Write constraints Pages can only be written sequentially in a block. Partial page write/programming is prohibited. Impact on NFTL Data can t be written to any free page of primary blocks. The space utilization in primary blocks is even lower. Most writes are forced to be placed in the replacement block. Pages of invalid data can t be marked as dead. Each read operation should scan pages of the replacement block. 12,

22 Intuitive and Practical Solution Level-paging translation tables Pages of translation tables are stored in flash, and are cached in RAM. Problems: Hit ratio of cached pages Extra page reads and writes for translation information Crash recovery for translation table and lost data 9 bits Level 0 paging page Level 1 paging page 3 bits Chip 4 bytes... 2nd outerpage table LBA (4 bytes) 9 bits 4 bytes PBA (4 bytes) 17 bits Block empty... Outer-page table 2 9 bits bits Level 2 paging page Offset 4 bytes... Page table 7 bits Page 256GB Flash memory Page = 2KB 1 Block = 2 7 Pages 1 Chip = 2 17 Blocks Page (2KB) Hsieh, J.-W., Wu, C.-H., and Chiu, G.-M MFTL: A design and implementation for MLC flash memory storage systems. ACM Trans. Storage 8, 2, Article No. 7 12,

23 Performance Considerations MLC flash has growing market share: Reason: low cost and high density Drawbacks: low speed, low endurance, and low reliability Solutions: Hardware multi-channel programming Software multi-bank or multi-channel programming 12,

24 Wear Leveling No Wear Leveling Dynamic Wear Leveling Intuitive Perfect Static Wear Leveling Erase Cycles Physical Block Addresses (PBA) 12,

25 Static Wear Leveling Random policy It randomly select a block to reclaim after a fixed number of block erases or write requests. It doesn t track the locality of data acceses, such that it might move hot data that might turn dead in the near future. 12,

26 Static Wear Leveling (Cont.) Random policy with block-erasing table Each-bit flag of the table is to indicate whether the corresponding blocks have been erased. Whenever the block erases are not even enough, select blocks whose corresponding bit flag are not set. Pros and Cons: Pros: it can identify the locality of data accesses. Cons: the block-erasing table needs extra RAM space even it is comparatively small. Yuan-Hao Chang, Jen-Wei Hsieh, Tei-Wei Kuo: Endurance Enhancement of Flash-Memory Storage, Systems: An Efficient Static Wear Leveling Design. DAC 2007: ,

27 The Block Erasing Table (BET) A bit-array: Each bit is for 2 k consecutive blocks. Small k in favor of hot-cold data separation Large k in favor of small RAM space e cnt =2 =3 =0 =1 f cnt =2 =0 =1 Flash e cnt =1 =0 =2 =3 =4 f cnt =1 =0 = BET k=0 k=2 e cnt : the total number of block erases done since the BET is reset f cnt : the number of 1 s in the BET : an index to a block that the Cleaner wants to erase : a block that has been erased in the current resetting interval 12,

28 A Simple Static-Wear Leveler An unevenness level (e cnt / f cnt ) >= T à Triggering of the SW Leveler Resetting of BET when all flags are set. T: A threshold, T=1000 in this example e cnt : the total number of block erases since the BET is erased e cnt =1998 =1999 =2000 =2004 =2998 =2999 =3000 =3004 =3999 =4000 =0 f cnt =2 =3 =4 = f cnt : The number of 1 s in the BET : An index that SW Leveler triggers the Cleaner to do garbage collection : An index in the selection of a block set : An index to a block that the Cleaner wants to erase : A block that has been erased in the current resetting interval k=2 The Cleaner is triggered to Reset to a randomly 1. Copy valid data selected block set free area, 4000 After a / 4 period = 1000>= Erase block in the selected of of time, selected block the (ethe block set cnt total / total f set, cnt erase >=1000) erase and , 3. count but Inform all / reaches 2 3 the flags = Allocator 1000 in (flag) BET >= to update 1000 are 1à the (Eaddress cnt reset / f cnt mapping >= BET T) between LBA and PBA 12,

29 Main-Memory Requirements 512MB 1GB 2GB 4GB 8GB k=0 256B 512B 1024B 2048B 4096B k=1 128B 256B 512B 1024B 2048B k=2 64B 128B 256B 512B 1024B k=3 32B 64B 128B 256B 512B MLC x2 (1 page = 2 KB, 1 block=128 pages) 12,

30 Worsening Reliability - Narrow Threshold Voltage Window MLC/TLC/QLC technology must squeeze the available window of threshold voltage for each logical state Higher Bit Error Rate Lower Endurance SLC MLC Source: 12,

31 Reliability Issues The low-cost MLC flash Has lower erase cycles Has higher and higher bit error rate. Methods to improve reliability Error correction coding (ECC) à passively Non-erasure code such as BCH and RS Wear leveling à proactively Distribute block erases as even as possible Note: Erasure code is used in communication Non-erasure code is used in storage systems 12,

32 Error Correction Coding Error correction code of a page is stored in its spare area. The time on error correction might be affected by the location of error bits or the number of error bits. The space of the ECC hardware is increased as the number of supported error bits increases. Trend of MLC flash The page size is getting larger The bit error rate is getting higher Fast erasing bits The fast worn-out flash cells V t 12,

33 Data Retention Problem The guaranteed data retention: 10 years As the cell size is getting smaller, the number of electrons in the floating gate of a flash cell is getting smaller. For example: A programmed cell can store 10,000 electrons. A lost of only 10% in this number can lead to a wrong read. à A loss of less than 2 electrons per week can be tolerated. 12,

34 Flash Self-Healing Potential Healing Command [1][2] For high BER (block error ratio) blocks For worn-out blocks Healthy Block Healing Worn-out Block 1. Lue, Hang-Ting. "Method for operating a semiconductor structure." U.S. Patent Application 13/710, Helm, Mark, William Kueber, and Andrei Mihnea. "Non-volatile memory cell healing." U.S. Patent No. 7,701, Apr Q. W. et al. Exploiting heat-accelerated flash memory wear-out recovery to enable self-healing SSDs. HotStorage H.-T. L. et al. Radically extending the cycling endurance of flash memory (to > 100m cycles) by using built-in thermal annealing to self-heal the stress-induced damage. IEDM M. V. et al. refresh SSDs: Enabling high endurance, low cost flash in datacenters. Technical report, FMS S. Lee, T. Kim, K. Kim, and J. Kim. Lifetime management of flash-based SSDs using recovery-aware dynamic throttling. USENIX 12 12,

35 Possible Implementation of Heal Leveler (Open Research Area) Process Process Process Applications Process Process Process Applications Virtual File System (VFS) File System (FAT, EXT2, NTFS, ) File Systems Virtual File System (VFS) File System (FAT, EXT2, NTFS, ) File Systems Flash Translation Layer Address Translator Cleaner Flash Memory Storage System Flash Translation Layer Address Translator Cleaner Heal-Leveler Flash Memory Storage System Heal-Leveler Memory Technology Device (MTD) Memory Technology Device (MTD) Physical Devices (Flash Memory) Physical Devices (Flash Memory) Layer Implementation Module Implementation 12,

A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks. Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo

A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks. Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo A Caching-Oriented FTL Design for Multi-Chipped Solid-State Disks Yuan-Hao Chang, Wei-Lun Lu, Po-Chun Huang, Lue-Jane Lee, and Tei-Wei Kuo 1 June 4, 2011 2 Outline Introduction System Architecture A Multi-Chipped

More information

A File-System-Aware FTL Design for Flash Memory Storage Systems

A File-System-Aware FTL Design for Flash Memory Storage Systems 1 A File-System-Aware FTL Design for Flash Memory Storage Systems Po-Liang Wu, Yuan-Hao Chang, Po-Chun Huang, and Tei-Wei Kuo National Taiwan University 2 Outline Introduction File Systems Observations

More information

Challenges and Solutions for Consumer Flash Memory Devices

Challenges and Solutions for Consumer Flash Memory Devices Challenges and Solutions for Consumer Flash Memory Devices Tei-Wei Kuo, Po-Chun Huang, and Yuan-Hao Chang Dept. of fcomputer Science & Info. Engr. National Taiwan University, Taiwan IIS and CITI, Academia

More information

Optimizing Translation Information Management in NAND Flash Memory Storage Systems

Optimizing Translation Information Management in NAND Flash Memory Storage Systems Optimizing Translation Information Management in NAND Flash Memory Storage Systems Qi Zhang 1, Xuandong Li 1, Linzhang Wang 1, Tian Zhang 1 Yi Wang 2 and Zili Shao 2 1 State Key Laboratory for Novel Software

More information

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Solid State Drives (SSDs) Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Solid State Drives (SSDs) Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Memory Types FLASH High-density Low-cost High-speed Low-power High reliability

More information

Design and Implementation for Multi-Level Cell Flash Memory Storage Systems

Design and Implementation for Multi-Level Cell Flash Memory Storage Systems Design and Implementation for Multi-Level Cell Flash Memory Storage Systems Amarnath Gaini, K Vijayalaxmi Assistant Professor Department of Electronics VITS (N9), Andhra Pradesh Sathish Mothe Assistant

More information

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jinkyu Jeong Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu) Flash

More information

Prediction of Elapsed Time based Wear Leveling for NAND Flash Memory in Embedded Systems

Prediction of Elapsed Time based Wear Leveling for NAND Flash Memory in Embedded Systems Prediction of Elapsed Time based Wear Leveling for NAND Flash Memory in Embedded Systems Sung Ho Kim Ph. D. Candidate, Department of Computer Engineering, Yeungnam University, 214-1 Dae-dong, Gyeongsan-si,

More information

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

A Mixed Flash Translation Layer Structure for SLC-MLC Combined Flash Memory System

A Mixed Flash Translation Layer Structure for SLC-MLC Combined Flash Memory System A Mixed Flash Translation Layer Structure for SLC-MLC Combined Flash Memory System Seung-Ho Park, Jung-Wook Park, Jong-Min Jeong, Jung-Hwan Kim, Shin-Dug Kim Department of Computer Science, Yonsei University,

More information

NAND Flash-based Storage. Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

Storage Systems. Storage Systems 郭大維教授. 嵌入式系統暨無線網路實驗室 (Embedded Systems and Wireless Networking Laboratory) 國立臺灣大學資訊工程學系

Storage Systems. Storage Systems 郭大維教授. 嵌入式系統暨無線網路實驗室 (Embedded Systems and Wireless Networking Laboratory) 國立臺灣大學資訊工程學系 Storage Systems 郭大維教授 ktw@csie.ntu.edu.tw 嵌入式系統暨無線網路實驗室 (Embedded Systems and Wireless Networking Laboratory) 國立臺灣大學資訊工程學系 Reading: Kam-yiu Lam and Tei-Wei Kuo, Real-Time Database Systems: Architecture

More information

S-FTL: An Efficient Address Translation for Flash Memory by Exploiting Spatial Locality

S-FTL: An Efficient Address Translation for Flash Memory by Exploiting Spatial Locality S-FTL: An Efficient Address Translation for Flash Memory by Exploiting Spatial Locality Song Jiang, Lei Zhang, Xinhao Yuan, Hao Hu, and Yu Chen Department of Electrical and Computer Engineering Wayne State

More information

Presented by: Nafiseh Mahmoudi Spring 2017

Presented by: Nafiseh Mahmoudi Spring 2017 Presented by: Nafiseh Mahmoudi Spring 2017 Authors: Publication: Type: ACM Transactions on Storage (TOS), 2016 Research Paper 2 High speed data processing demands high storage I/O performance. Flash memory

More information

SFS: Random Write Considered Harmful in Solid State Drives

SFS: Random Write Considered Harmful in Solid State Drives SFS: Random Write Considered Harmful in Solid State Drives Changwoo Min 1, 2, Kangnyeon Kim 1, Hyunjin Cho 2, Sang-Won Lee 1, Young Ik Eom 1 1 Sungkyunkwan University, Korea 2 Samsung Electronics, Korea

More information

Sub-block Wear-leveling for NAND Flash

Sub-block Wear-leveling for NAND Flash IBM Research Zurich March 6, 2 Sub-block Wear-leveling for NAND Flash Roman Pletka, Xiao-Yu Hu, Ilias Iliadis, Roy Cideciyan, Theodore Antonakopoulos Work done in collaboration with University of Patras

More information

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

NAND/MTD support under Linux

NAND/MTD support under Linux 12 July 2012 NAND Features 1 Flash is everywhere NAND Features non-volatile computer storage chip that can be electrically erased and reprogrammed usb flash drives memory cards solid-state drives Flash

More information

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash-based Storage. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash-based Storage Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Today s Topics NAND flash memory Flash Translation Layer (FTL) OS implications

More information

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory

Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Storage Architecture and Software Support for SLC/MLC Combined Flash Memory Soojun Im and Dongkun Shin Sungkyunkwan University Suwon, Korea {lang33, dongkun}@skku.edu ABSTRACT We propose a novel flash

More information

CS311 Lecture 21: SRAM/DRAM/FLASH

CS311 Lecture 21: SRAM/DRAM/FLASH S 14 L21-1 2014 CS311 Lecture 21: SRAM/DRAM/FLASH DARM part based on ISCA 2002 tutorial DRAM: Architectures, Interfaces, and Systems by Bruce Jacob and David Wang Jangwoo Kim (POSTECH) Thomas Wenisch (University

More information

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives

Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Middleware and Flash Translation Layer Co-Design for the Performance Boost of Solid-State Drives Chao Sun 1, Asuka Arakawa 1, Ayumi Soga 1, Chihiro Matsui 1 and Ken Takeuchi 1 1 Chuo University Santa Clara,

More information

Flash Trends: Challenges and Future

Flash Trends: Challenges and Future Flash Trends: Challenges and Future John D. Davis work done at Microsoft Researcher- Silicon Valley in collaboration with Laura Caulfield*, Steve Swanson*, UCSD* 1 My Research Areas of Interest Flash characteristics

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc.

Content courtesy of Wikipedia.org. David Harrison, CEO/Design Engineer for Model Sounds Inc. Content courtesy of Wikipedia.org David Harrison, CEO/Design Engineer for Model Sounds Inc. Common FLASH Memory SD cards + mini, micro versions serial interface slower Compact Flash - parallel interface

More information

Data Organization and Processing

Data Organization and Processing Data Organization and Processing Indexing Techniques for Solid State Drives (NDBI007) David Hoksza http://siret.ms.mff.cuni.cz/hoksza Outline SSD technology overview Motivation for standard algorithms

More information

Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices

Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices Page Mapping Scheme to Support Secure File Deletion for NANDbased Block Devices Ilhoon Shin Seoul National University of Science & Technology ilhoon.shin@snut.ac.kr Abstract As the amount of digitized

More information

Flash Memory Based Storage System

Flash Memory Based Storage System Flash Memory Based Storage System References SmartSaver: Turning Flash Drive into a Disk Energy Saver for Mobile Computers, ISLPED 06 Energy-Aware Flash Memory Management in Virtual Memory System, islped

More information

Could We Make SSDs Self-Healing?

Could We Make SSDs Self-Healing? Could We Make SSDs Self-Healing? Tong Zhang Electrical, Computer and Systems Engineering Department Rensselaer Polytechnic Institute Google/Bing: tong rpi Santa Clara, CA 1 Introduction and Motivation

More information

A Memory Management Scheme for Hybrid Memory Architecture in Mission Critical Computers

A Memory Management Scheme for Hybrid Memory Architecture in Mission Critical Computers A Memory Management Scheme for Hybrid Memory Architecture in Mission Critical Computers Soohyun Yang and Yeonseung Ryu Department of Computer Engineering, Myongji University Yongin, Gyeonggi-do, Korea

More information

16:30 18:00, June 20 (Monday), 2011 # (even student IDs) # (odd student IDs) Scope

16:30 18:00, June 20 (Monday), 2011 # (even student IDs) # (odd student IDs) Scope Final Exam 16:30 18:00, June 20 (Monday), 2011 #440102 (even student IDs) #440112 (odd student IDs) Scope Chap. 1 5 (except 3.7, 5.7) Chap. 6.1, 6.3, 6.4 Chap. 7.1 7.6 Closed-book exam 1 Storage Jin-Soo

More information

OSSD: A Case for Object-based Solid State Drives

OSSD: A Case for Object-based Solid State Drives MSST 2013 2013/5/10 OSSD: A Case for Object-based Solid State Drives Young-Sik Lee Sang-Hoon Kim, Seungryoul Maeng, KAIST Jaesoo Lee, Chanik Park, Samsung Jin-Soo Kim, Sungkyunkwan Univ. SSD Desktop Laptop

More information

A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks

A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks A Buffer Replacement Algorithm Exploiting Multi-Chip Parallelism in Solid State Disks Jinho Seol, Hyotaek Shim, Jaegeuk Kim, and Seungryoul Maeng Division of Computer Science School of Electrical Engineering

More information

p-oftl: An Object-based Semantic-aware Parallel Flash Translation Layer

p-oftl: An Object-based Semantic-aware Parallel Flash Translation Layer p-oftl: An Object-based Semantic-aware Parallel Flash Translation Layer Wei Wang, Youyou Lu, and Jiwu Shu Department of Computer Science and Technology, Tsinghua University, Beijing, China Tsinghua National

More information

3D NAND - Data Recovery and Erasure Verification

3D NAND - Data Recovery and Erasure Verification 3D NAND - Data Recovery and Erasure Verification Robin England Hardware Research & Development Team Lead Santa Clara, CA The Causes of SSD Data Loss What can go wrong? Logical Damage Data accidentally

More information

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FIV Series CSXXXXXRC-XX09XXXX. 04 Jul 2016 V1.

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FIV Series CSXXXXXRC-XX09XXXX. 04 Jul 2016 V1. Embedded Storage Solutions Industrial SATA III 2.5 Solid State Drive SED2FIV Series CSXXXXXRC-XX09XXXX 1 Table of Contents 1. Product Description... 4 1.1. Product Overview... 4 1.2. Product Features...

More information

SSD (Solid State Disk)

SSD (Solid State Disk) SSD (Solid State Disk) http://en.wikipedia.org/wiki/solid-state_drive SSD (Solid State Disk) drives Most SSD drives gives very good performance 4x ~ 100x No noise, low weight, power and heat generation

More information

Increasing NAND Flash Endurance Using Refresh Techniques

Increasing NAND Flash Endurance Using Refresh Techniques Increasing NAND Flash Endurance Using Refresh Techniques Yu Cai 1, Gulay Yalcin 2, Onur Mutlu 1, Erich F. Haratsch 3, Adrian Cristal 2, Osman S. Unsal 2 and Ken Mai 1 DSSC, Carnegie Mellon University 1

More information

Secondary storage. CS 537 Lecture 11 Secondary Storage. Disk trends. Another trip down memory lane

Secondary storage. CS 537 Lecture 11 Secondary Storage. Disk trends. Another trip down memory lane Secondary storage CS 537 Lecture 11 Secondary Storage Michael Swift Secondary storage typically: is anything that is outside of primary memory does not permit direct execution of instructions or data retrieval

More information

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only

Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Reducing MLC Flash Memory Retention Errors through Programming Initial Step Only Wei Wang 1, Tao Xie 2, Antoine Khoueir 3, Youngpil Kim 3 1 Computational Science Research Center, San Diego State University

More information

[537] Flash. Tyler Harter

[537] Flash. Tyler Harter [537] Flash Tyler Harter Flash vs. Disk Disk Overview I/O requires: seek, rotate, transfer Inherently: - not parallel (only one head) - slow (mechanical) - poor random I/O (locality around disk head) Random

More information

PEVA: A Page Endurance Variance Aware Strategy for the Lifetime Extension of NAND Flash

PEVA: A Page Endurance Variance Aware Strategy for the Lifetime Extension of NAND Flash PEVA: A Page Endurance Variance Aware Strategy for the Lifetime Extension of NAND Flash Abstract: With aggressive scaling and multilevel cell technology, the reliability of NAND flash continuously degrades.

More information

1110 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 7, JULY 2014

1110 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 7, JULY 2014 1110 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 7, JULY 2014 Adaptive Paired Page Prebackup Scheme for MLC NAND Flash Memory Jaeil Lee and Dongkun Shin,

More information

Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND Flash Memory-Based Sensor Systems

Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND Flash Memory-Based Sensor Systems Sensors 214, 14, 18851-18877; doi:1.339/s14118851 Article OPEN ACCESS sensors ISSN 1424-822 www.mdpi.com/journal/sensors Asymmetric Programming: A Highly Reliable Metadata Allocation Strategy for MLC NAND

More information

A New Cache Management Approach for Transaction Processing on Flash-based Database

A New Cache Management Approach for Transaction Processing on Flash-based Database A New Cache Management Approach for Transaction Processing on Flash-based Database Da Zhou Information school Renmin University of China Beijing, Country e-mail:cadizhou@gmail.com Xiaofeng Meng Information

More information

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FIV Series CSXXXXXRC-XX10XXXX. 22 Aug 2017 V1.

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FIV Series CSXXXXXRC-XX10XXXX. 22 Aug 2017 V1. Embedded Storage Solutions Industrial SATA III 2.5 Solid State Drive SED2FIV Series CSXXXXXRC-XX10XXXX 1 Table of Contents 1. Product Description... 4 1.1. Product Overview... 4 1.2. Product Features...

More information

Chapter 12 Wear Leveling for PCM Using Hot Data Identification

Chapter 12 Wear Leveling for PCM Using Hot Data Identification Chapter 12 Wear Leveling for PCM Using Hot Data Identification Inhwan Choi and Dongkun Shin Abstract Phase change memory (PCM) is the best candidate device among next generation random access memory technologies.

More information

A Self Learning Algorithm for NAND Flash Controllers

A Self Learning Algorithm for NAND Flash Controllers A Self Learning Algorithm for NAND Flash Controllers Hao Zhi, Lee Firmware Manager Core Storage Electronics Corp./Phison Electronics Corp. haozhi_lee@phison.com Santa Clara, CA 1 Outline Basic FW Architecture

More information

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FV Series. V Aug

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FV Series. V Aug Embedded Storage Solutions Industrial SATA III 2.5 Solid State Drive SED2FV Series 1 Table of Contents Product Description... 4 1.1. Product Overview... 4 1.2. Product Features... 4 1.3. Specifications...

More information

Using MLC Flash to Reduce System Cost in Industrial Applications

Using MLC Flash to Reduce System Cost in Industrial Applications Using MLC Flash to Reduce System Cost in Industrial Applications Chris Budd SMART High Reliability Solutions Santa Clara, CA 1 Introduction Component selection: cost versus quality Use same component to

More information

ONE of the design challenges of mobile computers is that

ONE of the design challenges of mobile computers is that IEEE TRANSACTIONS ON COMPUTERS, VOL. 59, NO. 10, OCTOBER 2010 1337 A Hybrid Approach to NAND-Flash-Based Solid-State Disks Li-Pin Chang Abstract Replacing power-hungry disks with NAND-flash-based solid-state

More information

Delayed Partial Parity Scheme for Reliable and High-Performance Flash Memory SSD

Delayed Partial Parity Scheme for Reliable and High-Performance Flash Memory SSD Delayed Partial Parity Scheme for Reliable and High-Performance Flash Memory SSD Soojun Im School of ICE Sungkyunkwan University Suwon, Korea Email: lang33@skku.edu Dongkun Shin School of ICE Sungkyunkwan

More information

COS 318: Operating Systems. Storage Devices. Vivek Pai Computer Science Department Princeton University

COS 318: Operating Systems. Storage Devices. Vivek Pai Computer Science Department Princeton University COS 318: Operating Systems Storage Devices Vivek Pai Computer Science Department Princeton University http://www.cs.princeton.edu/courses/archive/fall11/cos318/ Today s Topics Magnetic disks Magnetic disk

More information

Secure data storage -

Secure data storage - Secure data storage - NAND Flash technologies and controller mechanisms Ricky Gremmelmaier Head of Business Development Embedded Computing Rutronik at a Glance Founded in 1973 / 2016 Revenue: 872 Mio Headquartered

More information

Wear Leveling Implementation on SQFlash

Wear Leveling Implementation on SQFlash September, 2009 Version 1.0 Wear Leveling Implementation on SQFlash Author: Ethan Chen/ Tones Yen E-mail: ethan.chen@advantech.com.tw; tones.yen@advantech.com.tw September, 2009 Version 1.0 Table of Contents

More information

smxnand RTOS Innovators Flash Driver General Features

smxnand RTOS Innovators Flash Driver General Features smxnand Flash Driver RTOS Innovators The smxnand flash driver makes NAND flash memory appear to a file system like a disk drive. It supports single-level cell (SLC) and multi-level cell (MLC) NAND flash.

More information

Purity: building fast, highly-available enterprise flash storage from commodity components

Purity: building fast, highly-available enterprise flash storage from commodity components Purity: building fast, highly-available enterprise flash storage from commodity components J. Colgrove, J. Davis, J. Hayes, E. Miller, C. Sandvig, R. Sears, A. Tamches, N. Vachharajani, and F. Wang 0 Gala

More information

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression

Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Reducing Solid-State Storage Device Write Stress Through Opportunistic In-Place Delta Compression Xuebin Zhang, Jiangpeng Li, Hao Wang, Kai Zhao and Tong Zhang xuebinzhang.rpi@gmail.com ECSE Department,

More information

YAFFS A NAND flash filesystem

YAFFS A NAND flash filesystem YAFFS A NAND flash filesystem Wookey wookey@wookware.org Aleph One Ltd Balloonboard.org Toby Churchill Ltd Embedded Linux Conference - Europe Linz 1 Project Genesis 2 Flash hardware 3 YAFFS fundamentals

More information

Optimizing Flash-based Key-value Cache Systems

Optimizing Flash-based Key-value Cache Systems Optimizing Flash-based Key-value Cache Systems Zhaoyan Shen, Feng Chen, Yichen Jia, Zili Shao Department of Computing, Hong Kong Polytechnic University Computer Science & Engineering, Louisiana State University

More information

Flash File Systems Overview

Flash File Systems Overview Table of contents 1.0 Overview 3 1.1 Flash architecture 3 1.1.1 Partitions 3 1.1.2 Blocks 3 1.2 Programming data 3 1.3 Data integrity 4 2.0 Flash file system functions 4 2.1 Wear leveling 4 2.2 Reclaim

More information

BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks

BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks Hui Zhao 1, Peiquan Jin *1, Puyuan Yang 1, Lihua Yue 1 1 School of Computer Science and Technology, University of Science

More information

File System Management

File System Management Lecture 8: Storage Management File System Management Contents Non volatile memory Tape, HDD, SSD Files & File System Interface Directories & their Organization File System Implementation Disk Space Allocation

More information

Storage Systems : Disks and SSDs. Manu Awasthi CASS 2018

Storage Systems : Disks and SSDs. Manu Awasthi CASS 2018 Storage Systems : Disks and SSDs Manu Awasthi CASS 2018 Why study storage? Scalable High Performance Main Memory System Using Phase-Change Memory Technology, Qureshi et al, ISCA 2009 Trends Total amount

More information

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory

Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Improving LDPC Performance Via Asymmetric Sensing Level Placement on Flash Memory Qiao Li, Liang Shi, Chun Jason Xue Qingfeng Zhuge, and Edwin H.-M. Sha College of Computer Science, Chongqing University

More information

Using Transparent Compression to Improve SSD-based I/O Caches

Using Transparent Compression to Improve SSD-based I/O Caches Using Transparent Compression to Improve SSD-based I/O Caches Thanos Makatos, Yannis Klonatos, Manolis Marazakis, Michail D. Flouris, and Angelos Bilas {mcatos,klonatos,maraz,flouris,bilas}@ics.forth.gr

More information

Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash. Hanan Weingarten, CTO, DensBits Technologies

Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash. Hanan Weingarten, CTO, DensBits Technologies Memory Modem TM FTL Architecture for 1Xnm / 2Xnm MLC and TLC Nand Flash Hanan Weingarten, CTO, DensBits Technologies August 21, 2012 1 Outline Requirements 1xnm/2xnm TLC NAND Flash Reliability Challenges

More information

CSCI-UA.0201 Computer Systems Organization Memory Hierarchy

CSCI-UA.0201 Computer Systems Organization Memory Hierarchy CSCI-UA.0201 Computer Systems Organization Memory Hierarchy Mohamed Zahran (aka Z) mzahran@cs.nyu.edu http://www.mzahran.com Programmer s Wish List Memory Private Infinitely large Infinitely fast Non-volatile

More information

A Reliable B-Tree Implementation over Flash Memory

A Reliable B-Tree Implementation over Flash Memory A Reliable B-Tree Implementation over Flash Xiaoyan Xiang, Lihua Yue, Zhanzhan Liu, Peng Wei Department of Computer Science and Technology University of Science and Technology of China, Hefei, P.R.China

More information

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB)

Views of Memory. Real machines have limited amounts of memory. Programmer doesn t want to be bothered. 640KB? A few GB? (This laptop = 2GB) CS6290 Memory Views of Memory Real machines have limited amounts of memory 640KB? A few GB? (This laptop = 2GB) Programmer doesn t want to be bothered Do you think, oh, this computer only has 128MB so

More information

Storage Systems : Disks and SSDs. Manu Awasthi July 6 th 2018 Computer Architecture Summer School 2018

Storage Systems : Disks and SSDs. Manu Awasthi July 6 th 2018 Computer Architecture Summer School 2018 Storage Systems : Disks and SSDs Manu Awasthi July 6 th 2018 Computer Architecture Summer School 2018 Why study storage? Scalable High Performance Main Memory System Using Phase-Change Memory Technology,

More information

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FV Series. V Aug

Datasheet. Embedded Storage Solutions. Industrial. SATA III 2.5 Solid State Drive. SED2FV Series. V Aug Embedded Storage Solutions Industrial SATA III 2.5 Solid State Drive SED2FV Series 1 Table of Contents Product Description... 4 1.1. Product Overview... 4 1.2. Product Features... 4 1.3. Specifications...

More information

Disks and RAID. CS 4410 Operating Systems. [R. Agarwal, L. Alvisi, A. Bracy, E. Sirer, R. Van Renesse]

Disks and RAID. CS 4410 Operating Systems. [R. Agarwal, L. Alvisi, A. Bracy, E. Sirer, R. Van Renesse] Disks and RAID CS 4410 Operating Systems [R. Agarwal, L. Alvisi, A. Bracy, E. Sirer, R. Van Renesse] Storage Devices Magnetic disks Storage that rarely becomes corrupted Large capacity at low cost Block

More information

SLC vs MLC: Considering the Most Optimal Storage Capacity

SLC vs MLC: Considering the Most Optimal Storage Capacity White Paper SLC vs MLC: Considering the Most Optimal Storage Capacity SLC vs MLC: Considering the Most Optimal Storage Capacity P. 1 Introduction Proficiency should be a priority for the storage in computers.

More information

A Long Endurance Policy (LEP): An Improved Swap Aware Garbage Collection For NAND Flash Memory Used As A Swap Space In Electronic Devices

A Long Endurance Policy (LEP): An Improved Swap Aware Garbage Collection For NAND Flash Memory Used As A Swap Space In Electronic Devices International Journal of Scientific & Engineering Research Volume 3, Issue 6, June-2012 1 A Long Endurance Policy (LEP): An Improved Swap Aware Garbage Collection For NAND Flash Memory Used As A Swap Space

More information

SSD Applications in the Enterprise Area

SSD Applications in the Enterprise Area SSD Applications in the Enterprise Area Tony Kim Samsung Semiconductor January 8, 2010 Outline Part I: SSD Market Outlook Application Trends Part II: Challenge of Enterprise MLC SSD Understanding SSD Lifetime

More information

COS 318: Operating Systems. Storage Devices. Kai Li Computer Science Department Princeton University

COS 318: Operating Systems. Storage Devices. Kai Li Computer Science Department Princeton University COS 318: Operating Systems Storage Devices Kai Li Computer Science Department Princeton University http://www.cs.princeton.edu/courses/archive/fall11/cos318/ Today s Topics Magnetic disks Magnetic disk

More information

Embedded and Removable Flash Memory Storage Solutions for Mobile Handsets and Consumer Electronics

Embedded and Removable Flash Memory Storage Solutions for Mobile Handsets and Consumer Electronics Embedded and Removable Flash Memory Storage Solutions for Mobile Handsets and Consumer Electronics Ed Beeman President, 2010 Tech, Inc. MMCA Marketing co-chair Agenda micard e-mmc (embedded MMC) 2 micard

More information

New Architecture for Code-Shadowing Applications. Anil Gupta Technical Executive, Winbond Electronics

New Architecture for Code-Shadowing Applications. Anil Gupta Technical Executive, Winbond Electronics New Architecture for Code-Shadowing Applications Dual-buffer SPI-NAND Arch providing SPI-NOR compatibility Anil Gupta Technical Executive, Winbond Electronics Santa Clara, CA 1 Key features of New Architecture

More information

SD series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

SD series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: SD series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents Industrial Micro SD card LIST OF FIGURES... 5 1. PRODUCT

More information

A Semi Preemptive Garbage Collector for Solid State Drives. Junghee Lee, Youngjae Kim, Galen M. Shipman, Sarp Oral, Feiyi Wang, and Jongman Kim

A Semi Preemptive Garbage Collector for Solid State Drives. Junghee Lee, Youngjae Kim, Galen M. Shipman, Sarp Oral, Feiyi Wang, and Jongman Kim A Semi Preemptive Garbage Collector for Solid State Drives Junghee Lee, Youngjae Kim, Galen M. Shipman, Sarp Oral, Feiyi Wang, and Jongman Kim Presented by Junghee Lee High Performance Storage Systems

More information

Differential RAID: Rethinking RAID for SSD Reliability

Differential RAID: Rethinking RAID for SSD Reliability Differential RAID: Rethinking RAID for SSD Reliability Mahesh Balakrishnan Asim Kadav 1, Vijayan Prabhakaran, Dahlia Malkhi Microsoft Research Silicon Valley 1 The University of Wisconsin-Madison Solid

More information

u Covered: l Management of CPU & concurrency l Management of main memory & virtual memory u Currently --- Management of I/O devices

u Covered: l Management of CPU & concurrency l Management of main memory & virtual memory u Currently --- Management of I/O devices Where Are We? COS 318: Operating Systems Storage Devices Jaswinder Pal Singh Computer Science Department Princeton University (http://www.cs.princeton.edu/courses/cos318/) u Covered: l Management of CPU

More information

Pseudo SLC. Comparison of SLC, MLC and p-slc structures. pslc

Pseudo SLC. Comparison of SLC, MLC and p-slc structures. pslc 1 Pseudo SLC In the MLC structures, it contains strong pages and weak pages for 2-bit per cell. Pseudo SLC (pslc) is to store only 1bit per cell data on the strong pages of MLC. With this algorithm, it

More information

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension

DPA: A data pattern aware error prevention technique for NAND flash lifetime extension DPA: A data pattern aware error prevention technique for NAND flash lifetime extension *Jie Guo, *Zhijie Chen, **Danghui Wang, ***Zili Shao, *Yiran Chen *University of Pittsburgh **Northwestern Polytechnical

More information

Phase Change Memory An Architecture and Systems Perspective

Phase Change Memory An Architecture and Systems Perspective Phase Change Memory An Architecture and Systems Perspective Benjamin C. Lee Stanford University bcclee@stanford.edu Fall 2010, Assistant Professor @ Duke University Benjamin C. Lee 1 Memory Scaling density,

More information

From Silicon to Solutions: Getting the Right Memory Mix for the Application

From Silicon to Solutions: Getting the Right Memory Mix for the Application From Silicon to Solutions: Getting the Right Memory Mix for the Application Ed Doller Numonyx CTO Flash Memory Summit 2008 Legal Notices and Important Information Regarding this Presentation Numonyx may

More information

SD 3.0 series (MLC) Customer Approver. Approver. Customer: Customer Part Number: Innodisk Part Number: Model Name: Date:

SD 3.0 series (MLC) Customer Approver. Approver. Customer: Customer Part Number: Innodisk Part Number: Model Name: Date: SD 3.0 series (MLC) Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents Industrial SD card 3.0 (MLC) LIST OF FIGURES...

More information

Rejuvenator: A Static Wear Leveling Algorithm for NAND Flash Memory with Minimized Overhead

Rejuvenator: A Static Wear Leveling Algorithm for NAND Flash Memory with Minimized Overhead Rejuvenator: A Static Wear Leveling Algorithm for NAND Flash Memory with Minimized Overhead Muthukumar Murugan University Of Minnesota Minneapolis, USA-55414 Email: murugan@cs.umn.edu David.H.C.Du University

More information

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp.

Flash Memory Overview: Technology & Market Trends. Allen Yu Phison Electronics Corp. Flash Memory Overview: Technology & Market Trends Allen Yu Phison Electronics Corp. 25,000 20,000 15,000 The NAND Market 40% CAGR 10,000 5,000 ($Million) - 2001 2002 2003 2004 2005 2006 2007 2008 2009

More information

NAND Flash Memory. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

NAND Flash Memory. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University NAND Flash Memory Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Flash Memory Memory Types EPROM FLASH High-density Low-cost High-speed Low-power

More information

FILE SYSTEMS, PART 2. CS124 Operating Systems Fall , Lecture 24

FILE SYSTEMS, PART 2. CS124 Operating Systems Fall , Lecture 24 FILE SYSTEMS, PART 2 CS124 Operating Systems Fall 2017-2018, Lecture 24 2 Last Time: File Systems Introduced the concept of file systems Explored several ways of managing the contents of files Contiguous

More information

Large and Fast: Exploiting Memory Hierarchy

Large and Fast: Exploiting Memory Hierarchy CSE 431: Introduction to Operating Systems Large and Fast: Exploiting Memory Hierarchy Gojko Babić 10/5/018 Memory Hierarchy A computer system contains a hierarchy of storage devices with different costs,

More information

3ME3 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3ME3 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3ME3 Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents msata mini 3ME3 LIST OF FIGURES... 6 1. PRODUCT OVERVIEW...

More information

3ME3 Series. Customer Approver. InnoDisk Approver. Customer: Customer Part Number: InnoDisk Part Number: InnoDisk Model Name: Date:

3ME3 Series. Customer Approver. InnoDisk Approver. Customer: Customer Part Number: InnoDisk Part Number: InnoDisk Model Name: Date: 3ME3 Series Customer: Customer Part Number: InnoDisk Part Number: InnoDisk Model Name: Date: InnoDisk Approver Customer Approver Table of contents SATADOM-SH 3ME3 LIST OF FIGURES... 6 1. PRODUCT OVERVIEW...

More information

Intelligent Garbage Collection Policy Based on I/O Workload Prediction for NAND Flash-based Storage Devices

Intelligent Garbage Collection Policy Based on I/O Workload Prediction for NAND Flash-based Storage Devices , pp.99-110 http://dx.doi.org/10.14257/ijmue.2017.12.1.09 Intelligent Garbage Collection Policy Based on I/O Workload Prediction for NAND Flash-based Storage Devices Xiaobo Ji 1, Fan Zeng 2, Mingwei Lin

More information

Understanding UFFS. Ricky Zheng < > Created: March 2007 Last modified: Nov 2011

Understanding UFFS. Ricky Zheng < > Created: March 2007 Last modified: Nov 2011 Understanding UFFS Ricky Zheng < ricky_gz_zheng@yahoo.co.nz > Created: March 2007 Last modified: Nov 2011 Content Why UFFS? Design goal Flash: NOR vs NAND? What's wrong with FAT? UFFS basic idea Serial

More information

MS800 Series. msata Solid State Drive Datasheet. Product Feature Capacity: 32GB,64GB,128GB,256GB,512GB Flash Type: MLC NAND FLASH

MS800 Series. msata Solid State Drive Datasheet. Product Feature Capacity: 32GB,64GB,128GB,256GB,512GB Flash Type: MLC NAND FLASH MS800 Series msata Solid State Drive Datasheet Product Feature Capacity: 32GB,64GB,128GB,256GB,512GB Flash Type: MLC NAND FLASH Form factor: msata Interface standard: Serial ATA Revision 3.0, 6Gbps Performance:

More information

3ME2 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date:

3ME2 Series. Customer Approver. Innodisk Approver. Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: 3ME2 Series Customer: Customer Part Number: Innodisk Part Number: Innodisk Model Name: Date: Innodisk Approver Customer Approver Table of contents LIST OF FIGURES... 6 1. PRODUCT OVERVIEW... 7 1.1 INTRODUCTION

More information

Transitioning from e-mmc to UFS: Controller Design. Kevin Liu ASolid Technology Co., Ltd.

Transitioning from e-mmc to UFS: Controller Design. Kevin Liu ASolid Technology Co., Ltd. Transitioning from e-mmc to UFS: Controller Design Kevin Liu ASolid Technology Co., Ltd. Flash Storage Summits 2 Agenda emmc vs. UFS Flash Trend & Challenges Key Requirements for Embedded Controller Design

More information