APEX II The Complete I/O Solution

Size: px
Start display at page:

Download "APEX II The Complete I/O Solution"

Transcription

1 APEX II The Complete I/O Solution July 2002

2 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications applications and protocols. APEX II devices support protocols such as the UTOPIA IV, RapidIO, CSIX, and POS-PHY Level 4 protocols, making them the ideal solution for complex systems. The APEX II device family features 1-gigabits per second (Gbps) dedicated True-LVDS circuitry, phaselocked loops (PLLs), embedded system blocks (ESBs), content-addressable memory (CAM), and enhanced all-layer-copper interconnects. Advanced High-Performance LVDS 36 1-Gbps True-LVDS input and 36 1-Gbps True-LVDS output channels Up to megabits per second (Mbps) Flexible-LVDS TM input channels and Mbps Flexible-LVDS output channels LVDS/LVPECL/PCML/HyperTransport I/O support Supported I/O Protocols RapidIO POS-PHY L4 Flexbus PCI-X UTOPIA IV CSIX LCS Zero-bus turnaround (ZBT), double-data rate (DDR), and quad-data rate (QDR) memory interface support Enhanced Architecture 4 Kbits of memory per ESB Dual-port+ RAM in ESBs with bidirectional read/write ports Eight PLL output taps Six high-speed registers per I/O element

3 The Complete I/O Solution The high-density Altera APEX II device family offers advanced I/O features to support a total systemon-a-programmable-chip (SOPC) solution. APEX II FPGAs are based on a 0.15-/0.13-µm all-layer-copper interconnect technology to address the increasing performance and bandwidth requirements of communication applications. These devices offer versatility and flexibility for highperformance SOPC applications. The APEX II device densities range from 16,640 logic elements (LEs) to 67,200 LEs. Based on state-of-the-art SRAM process technology, the APEX II device family supports a wide range of high-speed I/O standards such as LVDS, PCML, LVPECL, HyperTransport, HSTL, and SSTL, enabling high-speed I/O data transfers. With True-LVDS circuitry, APEX II devices can achieve data transfer rates of up to 1 Gbps per channel, and are fully 64-bit, 66-MHz PCI and PCI-X compliant. APEX II devices feature four general-purpose PLLs that can drive eight different global clock nets and/or circuit signals for comprehensive clock management and synthesis needs. On the following page, Table 1 describes some of the highlights of APEX II devices, and Table 2 shows the wide range of features and packages available. I/O Standard Support for High-Bandwidth Applications APEX II devices have dedicated support for cutting-edge I/O standards such as the HSTL, SSTL, LVPECL, PCML, HyperTransport, CTT, GTL+, PCI-X, AGP, LVTTL, LVCMOS, and LVDS standards with performance up to 1 Gbps. These I/O standards allow the APEX II device to interface with other on-board devices in highbandwidth applications (as shown in Figure 1). APEX II devices also feature the MultiVolt I/O interface, allowing them to interface with devices using different voltage levels, including 1.5 V, 1.8 V, 2.5 V, and 3.3 V. Table 3 details the support APEX II devices offer for advanced I/O standard applications. Figure 1. APEX II Interface Support PLD PLD True-LVDS Solution 1 Gbps per channel LVDS, LVPECL, PCML, and HyperTransport I/O 36 input and 36 output channels Flexible-LVDS Solution 624 Mbps per channel LVDS, LVPECL and Hyper- Transport I/O 88 input and 88 output channels Clock-Data Synchronization ASSP Processor PHY-Link Layer Interface UTOPIA L1, L2, L3, and L4 POS-PHY L2, L3, and L4 Flexbus L3 and L4 Host Processor Interface RapidIO HyperTransport PCI-X Memory Memory Interface ZBT, DDR, and QDR SRAM Single-data rate (SDR) and DDR SDRAM Switch Fabric Interface CSIX LCS Altera Corporation 3

4 Table 1. APEX II Highlights Feature 1-Gbps True-LVDS solution 624-Mbps Flexible-LVDS solution Clock-data synchronization (CDS) Six registers per I/O element (IOE) Enhanced PLLs Advanced ESBs PCI and PCI-X compliance SignalTap II logic analysis Density up to 67,200 logic elements MultiVolt I/O operation FineLine BGA packaging Vertical migration Benefit Provides 36 input and 36 output high-speed channels for high-performance applications. Supports LVDS, LVPECL, PCML, and HyperTransport Up to 88 input and 88 output channels for high-bandwidth needs. Supports LVDS, LVPECL, and HyperTransport standards Allows up to 36 independent data channels to interface with one APEX II device Provides support for high-speed external memory interfaces such as ZBT-, DDR-, and QDR-based memory devices Supports ClockLock, ClockBoost, and ClockShift circuitry for flexible clock synthesis and clock management with eight output taps and two off-chip outputs Implements dual-port RAM with bidirectional read/write ports, first-in first-out (FIFO) buffers, ROM, and CAM. 4 Kbits of memory per ESB Meets all specifications of 64-bit 66-MHz PCI and PCI-X Improves verification of chip functionality Addresses system-level needs for a high-density device Ideal for mixed voltage systems Area-optimized, 1.0-mm ball pitch provides high pin count Addresses changing device density without the need to re-spin the board Table 2. APEX II Device Overview Feature EP2A15 EP2A25 EP2A40 EP2A70 Maximum system gates 1,900,000 2,750,000 3,000,000 5,250,000 Typical gates 600, ,000 1,500,000 3,000,000 Logic elements (LEs) 16,640 24,320 38,400 67,200 ESBs General-purpose PLLs Maximum RAM bits 425, , ,360 1,146,880 True-LVDS channels (transmit/receive) 36/36 36/36 36/36 36/36 Flexible-LVDS channels (transmit/receive) 56/56 56/56 88/88 88/88 Maximum user I/O pins ,060 Available packages 724-Pin BGA 672-Pin FineLine BGA 724-Pin BGA and 672-Pin FineLine BGA 724-Pin BGA 672- and 1,020-Pin FineLine BGA 724-Pin BGA 1,508-Pin FineLine BGA Table 3. APEX II Protocol Support for Advanced I/O Applications Application Data Bus Width (Bits) I/O Standard Device Throughput (Gbps) RapidIO HyperTransport CSIX UTOPIA IV POS-PHY Level 4 PCI 8, 16 2, 4, 8, LVDS LVDS HSTL Class I LVDS LVDS LVTTL Altera Corporation

5 High-Performance Differential I/O Support APEX II devices support multiple high-speed differential I/O standards including True-LVDS and Flexible-LVDS solutions, LVPECL, PCML, and HyperTransport. Differential signaling techniques facilitate high data transfer rates, reduce electromagnetic interference, and simplify printed circuit board design. True-LVDS Solution The True-LVDS solution uses dedicated circuitry to perform high-speed data serialization/deserialization (SERDES). Each True-LVDS channel supports data transfer rates of up to 1 Gbps, as shown in Figure 2. The APEX II devices feature up to 36 receiver channels and 36 transmitter channels, as well as two independent LVDS clock domains. Each channel supports LVPECL, PCML, and HyperTransport as well as an independent clock multiplication feature. True-LVDS clock-data synchronization (CDS) can correct fixed multi-bit-period skew between different LVDS receiver channels, synchronizing them to a single clock input. Figure 2. 1-Gbps True-LVDS Solution in APEX II Devices Flexible-LVDS Solution The Flexible-LVDS feature uses ESBs for SERDES functions, enabling data transfers of up to 624 Mbps in each Flexible-LVDS channel. APEX II devices support up to 88 receiver and 88 transmitter channels. Flexible-LVDS channels support LVDS, HyperTransport I/O, and LVPECL inputs, and LVDS and HyperTransport outputs. Clock-Data Synchronization APEX II devices offers dedicated CDS circuitry to compensate for fixed clock-to-data skew. CDS provides designers the flexibility of synchronizing data from up to 36 independent high-speed sources of varying trace lengths and skews, as shown in Figure 3. Synchronizing the clock and data channels on each high-speed True-LVDS channel independently significantly simplifies board design and helps designers fully utilize the high-speed I/O capabilities of APEX II devices. Figure 3. APEX II Clock-Data Synchronization Clock Enhances Chip-to-Chip Performance Data Data Data Clock Clock Clock High-Speed External Memory Interfaces The IOE in APEX II devices supports emerging highspeed memory interfaces such as ZBT, DDR and QDR SRAMs, and SDR and DDR SDRAMs. Table 4 details the APEX II support for external memory. Each IOE consists of two input registers, two output registers, and two output-enable registers that facilitate these advanced memory access standards. In addition to the APEX II IOE, Altera also provides APEX II optimized intellectual property (IP) MegaCore functions to implement memory controllers that control Altera Corporation 5

6 Table 4. External Memory Interface Support Memory Type SRAM DRAM Memory ZBT SRAM DDR SRAM QDR SRAM SDR SDRAM* DDR SDRAM I/O Standard LVTTL HSTL HSTL LVTTL SSTL *SDRAM is synchronous DRAM the data access to and from external memory devices. Please refer to the IP MegaStore site at for a list of available controllers for different types of memory devices. and CAM. Breakthrough Performance with All-Layer-Copper Interconnect APEX II devices are built on state-of-the-art all-layercopper interconnect technology. Copper has lower resistance and better electromigration characteristics than aluminum. Copper interconnect delays are 70% lower than aluminum, translating to core performance improvements, and copper is more scalable than aluminum, resulting in smaller die sizes. Advanced Phase-Locked Loops APEX II devices include four embedded generalpurpose PLLs with enhanced ClockLock, ClockBoost, and ClockShift circuitry. These devices also feature four dedicated LVDS PLLs for high-performance I/O applications. ClockLock circuitry reduces clock delay and skew within the device. ClockBoost circuitry provides clock frequency multiplication and division, minimizing the number of external clocks needed in the design. ClockShift circuitry provides a programmable clock delay and phase shift capability for aligning clock edges. Each PLL has two output taps with a maximum of eight output taps per device that can independently feed eight global clock lines internal to the device. Each APEX II device also has two external clock output pins fed directly from two separate PLLs. Enhanced Embedded System Blocks APEX II devices feature up to 270 ESBs for a total of 1.1 megabit (2 20 bits) (Mbits) of memory. Each ESB accommodates 4 Kbits of memory that be configured to various data widths: 256x16, 512x8, 1,024x4, 2,048x2, or 4,096x1 bits. The 4-Kbit ESBs can be split into two 2-Kbit blocks, effectively doubling the number of ESBs. APEX II ESBs support bidirectional read and write ports based on two independent clocks, synchronous or asynchronous RAM operation, and high-speed first-in first-out (FIFO) buffers. The ESBs can also be configured as high-performance CAM to be used in high-speed search applications. Multiple ESBs can be combined to create deeper, wider RAM 6 Altera Corporation

7 Quartus II Development Tool & IP Simplify Design The Altera Quartus II development tool allows designers to process multi-million gate designs. The Quartus II software supports systemlevel solutions, integrates seamlessly with standard revision control software, and allows designers to implement advanced features such as LVDS, CAM, and PLLs into their device designs. Using the Quartus II software, designers can easily integrate complex IP cores into their designs. As shown in Table 5, the Quartus II software offers a variety of features to aid the system designer, making it the ideal platform for multi-million-gate designs. Table 5. Quartus II Highlights Feature LogicLock incremental design capability SignalTap embedded logic analyzer PowerFit fitting technology NativeLink integration Internet awareness Benefit Allows for incremental optimization of a design Reduces verification time by enabling designers to see internal signal values while the system is running at speed Optimizes designs based on user's timing specifications and meets design requirements with minimal user effort Allows for seamless integration with third-party tools Provides up-to-the-minute information and file exchanges, software updates, and support services through the Internet Contact Altera Today The APEX II device family provides the ideal solution for your SOPC design needs. Visit the Altera web site today to learn more about the APEX II device family and its complete I/O solution at Altera Corporation 7

8 The Programmable Solutions Company Altera Offices Altera Corporation Altera European Headquarters Altera Japan Ltd. Altera International Ltd. 101 Innovation Drive Holmers Farm Way Shinjuku i-land Tower 32F 2102 Tower 6 San Jose, CA High Wycombe 5-1, Nishi-Shinjuku, 6-Chome The Gateway, Harbour City USA Buckinghamshire Shinjuku-ku, Tokyo Canton Road Telephone: (408) HP12 4XF Japan Tsimshatsui Kowloon United Kingdom Telephone: (81) Hong Kong Telephone: (44) Telephone: (852) Copyright 2002 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. HyperTransport is a trademark of HyperTrabsport Consortium. RapidIO is a trademark of the RapidIO Trade Asspciation. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, mask work rights, and copyrights. GB-APEX11-2.0

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities Stratix High-Density, High-Performance FPGAs Available in Production Quantities February 2004 High-Density, High-Performance FPGAs Altera s award-winning Stratix FPGA family delivers the most comprehensive

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

MAX II CPLD Applications Brochure

MAX II CPLD Applications Brochure MAX II CPLD Applications Brochure Whether designing for communications, consumer, computing, or industrial applications, MAX II devices offer the features designers need when developing control path applications

More information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information Stratix FPGA Family December 2002, ver. 3.0 Data Sheet Introduction Preliminary Information The Stratix TM family of FPGAs is based on a 1.5-V, 0.13-µm, all-layer copper SRAM process, with densities up

More information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information Stratix Programmable Logic Device Family February 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix family of programmable logic devices (PLDs) is based on a 1.5-V, 0.13-µm, all-layer

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

Stratix III FPGAs. Bring your ideas to life.

Stratix III FPGAs. Bring your ideas to life. Stratix III FPGAs Bring your ideas to life. It s a jungle out there. Give your ideas life with a device that puts your designs at the top of the food chain. Cheetah-like speed, elephant-sized memory, and

More information

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family First Quarter 2002 Newsletter for Altera Customers Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family Next-generation systems demand a dramatic level of

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

5. High-Speed Differential I/O Interfaces in Stratix Devices

5. High-Speed Differential I/O Interfaces in Stratix Devices 5. High-Speed Differential I/O Interfaces in Stratix Devices S52005-3.2 Introduction To achieve high data transfer rates, Stratix devices support True- LVDS TM differential I/O interfaces which have dedicated

More information

Section II. HardCopy APEX Device Family Data Sheet

Section II. HardCopy APEX Device Family Data Sheet Section II. HardCopy APEX Device Family Data Sheet This section provides designers with the data sheet specifications for HardCopy APEX TM devices. These chapters contain feature definitions of the internal

More information

Excalibur Device Overview

Excalibur Device Overview May 2002, ver. 2.0 Data Sheet Features... Combination of a world-class RISC processor system with industryleading programmable logic on a single device Industry-standard ARM922T 32-bit RISC processor core

More information

4. Selectable I/O Standards in Stratix & Stratix GX Devices

4. Selectable I/O Standards in Stratix & Stratix GX Devices 4. Selectable I/O Standards in Stratix & Stratix GX Devices S52004-3.4 Introduction The proliferation of I/O standards and the need for higher I/O performance have made it critical that devices have flexible

More information

Using High-Speed Differential I/O Interfaces

Using High-Speed Differential I/O Interfaces Using High-Speed Differential I/O Interfaces in Stratix Devices December 2002, ver. 2.0 Application Note 202 Introduction Preliminary Information To achieve high data transfer rates, Stratix TM devices

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

Using I/O Standards in the Quartus Software

Using I/O Standards in the Quartus Software White Paper Using I/O Standards in the Quartus Software This document shows how to implement and view the selectable I/O standards for APEX TM 20KE devices in the Quartus TM software and give placement

More information

February 2002, ver. 4.3

February 2002, ver. 4.3 APEX 20K Programmable Logic Device Family February 2002, ver. 4.3 Data Sheet Features... Industry s first programmable logic device (PLD) incorporating system-on-a-programmable-chip (SOPC) integration

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1 System on Programmable Chip SoPC for High Performance System Applications TM 2000 Altera Corporation 1 Agenda! Altera SoPC Roadmap Product Roadmap Packaging Roadmap Tools Roadmap IP Roadmap! Quartus II,

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. Section I. Stratix II Device Family Data Sheet This section provides the

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

HardCopy. Introduction. Features... Devices for APEX 20K Conversion. Preliminary Information

HardCopy. Introduction. Features... Devices for APEX 20K Conversion. Preliminary Information HardCopy Devices for APEX 20K Conversion September 2001, ver. 1.0 Data Sheet Introduction HardCopy TM devices enable high-density APEX TM 20K device technology to be used in high-volume applications where

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

Emerging Protocols & Applications

Emerging Protocols & Applications Emerging Protocols & Applications Anthony Dalleggio Executive Vice President Modelware, Inc. Contents Historical System Bandwidth Trends The PC Bus SONET, UTOPIA, & POS-PHY Targeted Applications SANs:

More information

Stratix GX. Introduction. Features... FPGA Family. Preliminary Information

Stratix GX. Introduction. Features... FPGA Family. Preliminary Information Stratix GX FPGA Family November 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix TM GX family of devices is Altera s second FPGA family to combine high-speed serial transceivers

More information

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs Packaging Solutions Advanced Packaging Solutions for High-Density PLDs June 1998 package options pin compatibility design flexibility Advanced Packaging Solutions FineLine BGA vertical migration space

More information

6. I/O Features for HardCopy IV Devices

6. I/O Features for HardCopy IV Devices 6. I/O Features for HardCopy IV Devices March 2012 HIV51006-2.3 HIV51006-2.3 This chapter describes the I/O standards, features, termination schemes, and performance supported in HardCopy IV devices. All

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 212 Introduction Typical I/O architectures transmit a single data word on each positive

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Using High-Speed I/O Standards in APEX II Devices

Using High-Speed I/O Standards in APEX II Devices Using High-Speed I/O Standards in APEX II Devices August 2002, ver. 1.7 Application Note 166 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

Cyclone Device Handbook, Volume 1

Cyclone Device Handbook, Volume 1 Cyclone Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information C5V1-1.4 Copyright 2003 Altera Corporation. All rights reserved. Altera,

More information

Cyclone Device Handbook, Volume 1

Cyclone Device Handbook, Volume 1 Cyclone Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 www.altera.com Preliminary Information C5V1-2.4 Copyright 2008 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless P R O G R A M M A B L E L O G I C S O L U T I O N S Time-to-Market Success High Performance High Capacity Seamless Integration Altera Delivers Programmable Logic Solutions Companies that can deliver new

More information

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N April 2003, ver. 15 Altera Devices Figures 1 and 2 explain the ordering codes for Altera devices. Devices that have multiple pin counts for the same package include the pin count in their ordering codes.

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

7. External Memory Interfaces in Stratix IV Devices

7. External Memory Interfaces in Stratix IV Devices February 2011 SIV51007-3.2 7. External Memory Interfaces in Stratix IV evices SIV51007-3.2 This chapter describes external memory interfaces available with the Stratix IV device family and that family

More information

Newsletter for Altera Customers. Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs. 70% Reduction in Die Size

Newsletter for Altera Customers. Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs. 70% Reduction in Die Size & News Views Fourth Quarter 2001 Newsletter for Altera Customers Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs The transition from a high-density programmable logic

More information

Simple Excalibur System

Simple Excalibur System Excalibur Solutions Simple Excalibur System August 2002, ver. 1.0 Application Note 242 Introduction This application note describes a simple Excalibur system design that consists of software running on

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

1. Stratix IV Device Family Overview

1. Stratix IV Device Family Overview 1. Stratix IV Device Family Overview SIV51001-3.0 Altera Stratix IV FPGAs deliver a breakthrough level of system bandwidth and power efficiency for high-end applications, allowing you to innovate without

More information

Altera Product Catalog

Altera Product Catalog Altera Product Catalog The Programmable Solutions Company Innovate, differentiate, and win At Altera, we ve been promoting innovation yours and ours ever since we invented the world s first programmable

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Cyclone Device Handbook, Volume 1

Cyclone Device Handbook, Volume 1 Cyclone Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information C5V1-1.8 Copyright 2005 Altera Corporation. All rights reserved. Altera,

More information

7. External Memory Interfaces in Arria II Devices

7. External Memory Interfaces in Arria II Devices ecember 2010 AIIGX51007-4.0 7. External Memory Interfaces in Arria II evices AIIGX51007-4.0 This chapter describes the hardware features in Arria II devices that facilitate high-speed memory interfacing

More information

Section I. Stratix II GX Device Data Sheet

Section I. Stratix II GX Device Data Sheet Section I. Stratix II GX Device Data Sheet This section provides designers with the data sheet specifications for Stratix II GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

Stratix II Device Handbook, Volume 1

Stratix II Device Handbook, Volume 1 Stratix II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information SII5V1-2.1 Copyright 2005 Altera Corporation. All rights reserved.

More information

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices May 2003, ver. 1.0 Application Note 227 Introduction The system packet interface level 4 phase 2 (SPI-4.2) specification, defined by

More information

Stratix II Device Handbook, Volume 1

Stratix II Device Handbook, Volume 1 Stratix II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 www.altera.com SII5V1-4.5 Copyright 2011 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company,

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

6. I/O Features for HardCopy III Devices

6. I/O Features for HardCopy III Devices 6. I/O Features or HardCopy III Devices January 2011 HIII51006-3.1 HIII51006-3.1 This chapter describes the I/O standards, eatures, termination schemes, and perormance supported in HardCopy III devices.

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Section I. Arria GX Device Data Sheet

Section I. Arria GX Device Data Sheet Section I. Arria GX Device Data Sheet This section provides designers with the data sheet specifications for Arria GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

Low Power Design Techniques

Low Power Design Techniques Low Power Design Techniques August 2005, ver 1.0 Application Note 401 Introduction This application note provides low-power logic design techniques for Stratix II and Cyclone II devices. These devices

More information

Feature EPF10K30E EPF10K50E EPF10K50S

Feature EPF10K30E EPF10K50E EPF10K50S FLEX 10KE Embedded Programmable Logic Family August 1999, ver. 2.02 Data Sheet Features... Embedded programmable logic devices (PLDs), providing System-on-a-Programmable-Chip TM integration in a single

More information

POS-PHY Level 4 MegaCore Function (POSPHY4)

POS-PHY Level 4 MegaCore Function (POSPHY4) POS-PHY Level 4 MegaCore Function (POSPHY4) August 2001; ver. 1.00 Data Sheet Introduction Optimized for the Altera APEX TM II device architecture, the POS-PHY level 4 MegaCore function (POSPHY4) interfaces

More information

6. I/O Features in Arria II Devices

6. I/O Features in Arria II Devices 6. I/O Features in Arria II Devices December 2011 AIIGX51006-4.2 AIIGX51006-4.2 This chapter describes how Arria II devices provide I/O capabilities that allow you to work in compliance with current and

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

5. Clock Networks and PLLs in Stratix IV Devices

5. Clock Networks and PLLs in Stratix IV Devices September 2012 SIV51005-3.4 5. Clock Networks and PLLs in Stratix IV Devices SIV51005-3.4 This chapter describes the hierarchical clock networks and phase-locked loops (PLLs) which have advanced features

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix 10 I/O

More information

Cyclone II Device Handbook, Volume 1

Cyclone II Device Handbook, Volume 1 Cyclone II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com CII5V1-3.1 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable

More information

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates Introduction Many applications and designs are adopting clock data recovery-based (CDR) transceivers for interconnect data transfer.

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide 2015.05.04 Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 Subscribe The Altera IOPLL megafunction IP core allows you to configure the settings of Arria 10 I/O PLL. Altera IOPLL

More information

Power Optimization in FPGA Designs

Power Optimization in FPGA Designs Mouzam Khan Altera Corporation mkhan@altera.com ABSTRACT IC designers today are facing continuous challenges in balancing design performance and power consumption. This task is becoming more critical as

More information

3. Mapping Stratix III Device Resources to HardCopy III Devices

3. Mapping Stratix III Device Resources to HardCopy III Devices 3. Mapping Resources to s HIII52003-2.0 Introduction This chapter discusses the available options for mapping from a Stratix III device to a HardCopy III device. ASICs have companion device support in

More information

1. Overview for the Arria II Device Family

1. Overview for the Arria II Device Family 1. Overview for the Arria II Device Family July 2012 AIIGX51001-4.4 AIIGX51001-4.4 The Arria II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture

More information

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander

Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander Using MAX II & MAX 3000A Devices as a Microcontroller I/O Expander March 2004, ver 2.0 Application Note 265 Introduction Advantages of Using MAX II & MAX 3000A Devices Many microcontroller and microprocessors

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 I/O

More information

MAX 10 General Purpose I/O User Guide

MAX 10 General Purpose I/O User Guide MAX 10 General Purpose I/O User Guide Subscribe UG-M10GPIO 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 I/O Overview... 1-1 MAX 10 Devices I/O Resources Per Package...1-1

More information