Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs

Size: px
Start display at page:

Download "Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs"

Transcription

1 Packaging Solutions Advanced Packaging Solutions for High-Density PLDs June 1998

2 package options pin compatibility design flexibility Advanced Packaging Solutions

3 FineLine BGA vertical migration space efficiency cost-effectiveness SameFrame pin-out

4 Table of Contents Advanced Packaging Solutions Altera Package Migration FineLine Ball-Grid Array 100-Pin FineLine BGA Pin FineLine BGA Pin FineLine BGA Pin FineLine BGA Ball-Grid Array 225-Pin BGA Pin BGA Pin BGA Pin BGA Thin Quad Flat Pack 32-Pin TQFP Pin TQFP Pin TQFP Pin TQFP Quad Flat Pack 100-Pin PQFP Pin PQFP Pin PQFP Pin RQFP Pin PQFP Pin RQFP Pin RQFP Plastic J-Lead Chip Carrier 20-Pin PLCC Pin PLCC Pin PLCC Plastic Dual In-Line Package 8-Pin PDIP Pin-Grid Array 403-Pin PGA Pin PGA Pin PGA for Other Altera Packages Device Package Dimension Formats Device Package Guide back cover Altera Corporation

5 Advanced Packaging Solutions With an increasing trend toward systems on a chip, programmable logic device (PLD) vendors must supply increasingly complex products that meet new levels of I/O density and performance. To address these needs, PLD technology is advancing rapidly, allowing for more complex logic to be placed in an ever smaller die area. Today, entire digital subsystems can be implemented on a single PLD. As this trend toward system integration continues to drive the need for higher I/O counts in less board area, space-saving packaging technology is becoming a more critical factor. With an offering of complex, high-performance device families based on its high-density FLEX and MAX architectures, Altera has taken a leadership role in packaging innovation for users of high-density PLDs. These packaging solutions allow designers to fully harness the power of programmable logic devices for complex system integration. Need for New Packaging Technologies As increased system integration continues to drive the trend toward higher pin counts, traditional packages such as quad flat pack (QFP) packages can no longer provide the required board area efficiency for devices requiring greater than 240 pins. As shown in Figure 1, almost 50% of designs require more than 240 pins. The trend toward higher pin count has led to The popularity of ball-grid array (BGA) packages, along with chip scale packages (CSP) and Flip Chip packages, is on the rise because they offer higher pin counts in significantly less board area than QFP packages. Also, BGA packages are robust, provide improved manufacturability, and have better thermal characteristics than most QFP packages. Figure 2. IC Package Type Usage BGA, Chip Scale Package Plastic QFP Chip On Board Pin-Grid Array Tape Automated Bonding Dual In-Line Package Surface Mount Technology Source: Anam the emergence of new packages. Figure 1. Percentage of ASIC Design Starts by Pin Count Figure 2 shows the growth and decline of various package types Number of ICs (Billions) % of Designs < > Source: Dataquest Package Pins 2 Altera Corporation

6 Emergence of BGA Packages At the forefront of packaging technologies, BGA packages have a distinct advantage in cost and ease of production (Figure 3). They are inexpensive and do not require any specialized manufacturing equipment. BGA packages are quickly becoming the most preferred packages for high-density PLD designs because they support high pin counts, easy handling, and volume manufacturing. As a result, Altera has introduced numerous devices in this package type. Figure 3. Comparison of Packaging Solutions Requires Specialized Manufacturing Equipment Altera has taken a leadership position in providing advanced BGA packaging solutions. With the FineLine BGA package, Altera offers the most space-efficient and cost-effective packaging for high-pin-count devices in the PLD industry. Figure 4 shows the various package types with respect to package area and pin count. FineLine BGA Packaging Altera s FineLine BGA strategy will provide a path for support of future PLDs with pin counts in excess of 1,000 pins. FineLine BGA packages currently are available in 1.0-mm ball pitch, and in the future will be offered in 0.8-mm and 0.5-mm ball pitch, supporting I/O count and board area requirements for system-level integration and the next generation of high-density PLDs. Expensive Altera s Commitment Number of Pins 240 QFP PGA BGA TQFP CSP Flip Chip Altera is committed to offering its customers programmable logic solutions that are at the forefront of technology. At Altera, one of our goals is to improve your time-to-market with the latest technologies and PLCC package options so that our devices can meet your needs today and in the future. Lead Density (Pins per Inch 2 ) Figure 4. FineLine BGA Package Efficiency Package Area (mm 2 ) 2,250 2,000 1,750 1,500 1,250 1, TQFP PQFP (11 mm) 256 (27 mm) 256 (17 mm) 289 (15 mm) 356 (35 mm) 400 (17 mm) 480 (35 mm) 484 (23 mm) Thermally Enhanced BGA 1.27-mm Pitch 600 (45 mm) 660 (40 mm) 672 (27 mm) 625 (21 mm) Thermally Enhanced BGA 1.0-mm Pitch FineLine BGA 1.0-mm Pitch Pin Count 729 (23 mm) 1,024 (27 mm) FineLine BGA 0.8-mm Pitch 1,225 (29 mm) Altera Corporation 3

7 Altera Package Migration Vertical Migration Capability Altera devices have been developed to offer maximum design flexibility and ease of use. Package migration, available in Altera s MAX and FLEX product families, allows users to move freely from one device to another without the need to change board layout. This flexibility enhances both ease of use and time-to-market. Vertical migration allows you to move your design from one density device to another density device in the same package and pin count, enabling you to maintain the same pin assignments while adding or removing logic requirements. The following pages contain actual-size photographs with dimensions for each package, as well as information on vertical migration. Table 1 below shows sample information on vertical migration; all devices that support vertical migration are highlighted in a common color. Cross-Package Migration with SameFrame Pin-out Figure 5 illustrates a cross-package migration example in which you start your design in a 100-pin FineLine BGA and want the flexibility to move to a 256-pin FineLine BGA for a higher I/O count in the future. Similarly, you could start your design in the 256-pin FineLine BGA for maximum prototyping flexibility and then choose to migrate to the 100-pin FineLine BGA for lower cost. Either way, the SameFrame pin-out provides a new level of package migration flexibility. Figure 5. SameFrame Pin-out Example Printed Circuit Board Designed for 256-Pin BGA Package In addition to vertical migration, Altera s FineLine BGA packages offer migration capability between packages with different ball counts. Altera s innovative SameFrame pin-out makes this flexibility possible. With SameFrame pin-out, the layout of balls is such that the smaller ball-count packages form a footprint-compatible subset of the larger packages. This layout of balls gives you the benefit of migrating from one FineLine BGA package to another without having to re-layout your board. By laying out the board for the worst case FineLine BGA ball count, the designer maintains the flexibility to choose from any density or FineLine BGA ball count before the design is finalized. 100-Pin FineLine BGA 100-Pin FineLine BGA Package (Reduced I/O Count or Logic Requirements) 256-Pin FineLine BGA 256-Pin FineLine BGA Package (Increased I/O Count or Logic Requirements) Table 1. Sample Vertical Migration Table Package Type MAX 7000A FLEX 6000 FLEX 10KA FLEX 10KE 256-Pin FineLine BGA EPM7128A EPM7256A EPM7384AE EPM7512AE EPF6010A EPF6016A EPF6024A EPF10K10A EPF10K30A EPF10K30E EPF10K50E EPF10K100B EPF10K100E Vertical Migration Vertical Migration Vertical Migration 4 Altera Corporation

8 Figure 6. Cross-Package Migration Example Power & Ground Pins (256-Pin BGA Package) I/O & Configuration Pins (256-Pin BGA Package) Common I/O & Configuration Pins (100-Pin & 256-Pin BGA Packages) Common Power & Ground Pins (All FineLine BGA Packages) Figure 6 illustrates how the innovative concentric ball layout is achieved with the new packaging. The power and ground pins in yellow are common to all FineLine BGA packages. With higher ball-count packages, more power and ground pins are present, these pins are located in each corner and are shown in green. Faster Time-to-Market Because the SameFrame pin-out allows a single PCB layout to support multiple device density/package combinations, you can now layout your board prior to final device selection. This increased flexibility allows you to concentrate on your design functionality rather than focus on fitting your design in a particular device or package. Dual Cost Savings SameFrame pin-out allows you to start with a larger device for prototyping flexibility and migrate to a lowerdensity, lower-cost device for volume production. You also have the option to migrate from a higher pin-count package to a lower pin-count package to save even more. Table 2 shows devices offered in FineLine BGA packages. Devices in the same color support cross-package migration. Design Migration Flexibility Table 2. Altera Devices with SameFrame Pin-out You can increase device density and/or I/O count without re-laying out your board. This density and I/O pin migration capability gives you additional design flexibility beyond what you can achieve with vertical migration. Devices EPF10K10A EPF10K30A EPF10K50A EPF10K100A EPF10K30E EPF10K50E EPF10K100B 100-Pin FineLine BGA 256-Pin FineLine BGA 484-Pin FineLine BGA 672-Pin FineLine BGA EPF10K100E EPF10K130E EPF10K200E EPF10K250E EPF6010A EPF6016A EPF6024A EPM7064A EPM7128A EPM7256A EPM7384A EPM7512A Altera Corporation 5

9 100-Pin FineLine BGA, 1.0-mm Ball Pitch (Preliminary) Indicates location of Ball A (10.80) (11.20) A B C D (1.00) (1.00) A1 Ball Pad Corner (1.00) (1.00) (0.785) (0.48) E F G H J K (1.1) Package Type 100-Pin FineLine BGA MAX 7000A EPM7064AE EPM7128A EPM7256A FLEX 6000 EPF6010A EPF6016A Within a given architecture, package migration across different FineLine BGA pin counts is also available. 6 Altera Corporation

10 256-Pin FineLine BGA, 1.0-mm Ball Pitch (Preliminary) (16.80) (17.20) (1.00) (1.00) A1 Ball Pad Corner (1.00) (0.785) Indicates location of Ball A1 A B C D (0.48) E F G H J K (1.00) L M N P R T (1.1) Package Type MAX 7000A FLEX 6000 FLEX 10KA FLEX 10KE 256-Pin FineLine BGA EPM7128A EPM7256A EPM7384AE EPM7512AE EPF6010A EPF6016A EPF6024A EPF10K10A EPF10K30A EPF10K30E EPF10K50E EPF10K100B EPF10K100E Within a given architecture, package migration across different FineLine BGA pin counts is also available. Altera Corporation 7

11 484-Pin FineLine BGA, 1.0-mm Ball Pitch (Preliminary) (22.80) (23.20) (1.00) (1.00) A1 Ball Pad Corner (1.00) (0.785) Indicates location of Ball A1 A B C D E F G H J K L M N P R T U V W Y AA AB (1.00) (0.48) (1.86) Package Type FLEX 10KA FLEX 10KE 484-Pin FineLine BGA EPF10K30A EPF10K50V EPF10K100A EPF10K30E EPF10K50E EPF10K100E EPF10K130E Within a given architecture, package migration across different FineLine BGA pin counts is also available. 8 Altera Corporation

12 672-Pin FineLine BGA, 1.0-mm Ball Pitch (Preliminary) (26.80) (27.20) (1.00) A1 Ball (2.00) Pad Corner (2.00) (1.50) Indicates location of Ball A1 A B C D E F G H J K L M N P R T U V W Y AA AB AC AD AE AF (1.00) (0.48) (1.86) Package Type 672-Pin FineLine BGA FLEX 10KE EPF10K130E EPF10K200E EPF10K250E Within a given architecture, package migration across different FineLine BGA pin counts is also available. Altera Corporation 9

13 225-Pin BGA, 1.5-mm Ball Pitch (26.80) (27.20) 0.94 (24.00) 0.97 (24.70) (3.00) Ref (1.50) Indicates location of Pin A1 A B C D E F G H J K L M N P R (0.60) (0.90) 0.32 Ref. 45 Chamfer (8.05) (4 Places) Ref. (1.0) (0.50) (0.70) (1.15) (1.25) (2.00) (2.30) (0.31) (0.41) Package Type FLEX Pin BGA EPF8820A 10 Altera Corporation

14 256-Pin BGA, 1.27-mm Ball Pitch A1 Ball Pad Corner Indicates location of Pin A1 45º Chamfer (all 4 corners) (26.80) (27.20) 0.94 (24.00) 0.97 (24.70) (8.05) Ref (0.60) (0.90) 0.05 (1.27) (1.44) Ref. A1 Ball Pad Corner A B C D E F G H J K L M N P R T U V W Y (1.44) Ref (0.50) R. (for 3 corners) (2.00) (0.50) (2.30) (0.70) (0.31) (0.41) (1.15) (1.25) Package Type FLEX Pin BGA EPF6016 EPF6024A Altera Corporation 11

15 356-Pin BGA, 1.27-mm Ball Pitch 1.38 ± (35.00 ± 0.10) 1.25 ± (31.75 ± 0.10) Indicates location of pin A ± (0.75 ± 0.15) A B C D E F G H J K L M N P R T U V W Y AA AB AC AD AE AF ± (0.87 ± 0.06) ± (1.50 ± 0.13) (1.27) ± (0.63 ± 0.07) Package Type MAX 9000 FLEX 10K FLEX 10KA FLEX 10KE 356-Pin BGA EPM9320 EPM9320A EPM9560 EPM9560A EPF10K30 EPF10K50 EPF10K30A EPF10K50V EPF10K100A EPF10K100E 12 Altera Corporation

16 600-Pin BGA, 1.27-mm Ball Pitch Ball Corner Indicates location of Pin A (44.90) (45.10) (0.60) (0.90) A B C D E F G H J K L M N P R T U V W Y AA AB AC AD AE AF AG AH AJ AK AL AM AN AP AR A1 Ball Pad Corner (43.08) (43.28) (1.65) (1.93) (1.09) (1.23) 0.05 (1.27) (0.56) (0.70) Seating Plane Package Type 600-Pin BGA FLEX 10KA EPF10K100A EPF10K130V EPF10K250A FLEX 10KE EPF10K200E Altera Corporation 13

17 32-Pin TQFP Pin (0.30) (0.45) (0.05) (0.15) 0.05 Max. (1.2) Detail A See Detail A (0.135) (0.180) (7.00) (0.80) (1.00) Ref (0.45) (0.75) (9.00) Package Type 32-Pin TQFP Configuration EPROM EPC1064 EPC1064V EPC1441 EPC2 14 Altera Corporation

18 44-Pin TQFP Pin Min (0.30) (0.05) (0.40) 0.05 Max. (1.2) Detail A See Detail A (0.09) (0.20) (0.80) (1.00) Ref (0.45) (0.75) (9.90) (10.10) (11.75) (12.25) Package Type MAX 7000 MAX 7000S MAX 7000A 44-Pin TQFP EPM7032 EPM7032V EPM7064 EPM7032S EPM7064S EPM7032AE EPM7064AE Altera Corporation 15

19 100-Pin TQFP Indicates location of Pin 1 Pin (0.17) (0.27) (0.05) (0.15) Max. (1.2) Detail A See Detail A (0.09) (0.20) (0.50) (1.00) Ref (0.45) (0.75) (13.50) (14.50) (15.80) (16.20) Package Type MAX 7000S MAX 7000A FLEX 6000 FLEX 8000 FLEX 10KA 100-Pin TQFP EPM7064S EPM7128S EPM7160S EPM7064AE EPM7128A EPM7256A EPF6010A EPF6016A EPF8282A* EPF8282AV* EPF8452A* EPF10K10A * No vertical migration. 16 Altera Corporation

20 144-Pin TQFP Indicates location of Pin 1 Pin (0.05) (0.15) (0.17) (0.27) (1.60) Max. Detail A See Detail A (0.09) (0.20) (0.50) (1.00) Ref (0.45) (0.75) (20.00) (22.00) Package Type MAX 7000A FLEX 6000 FLEX 8000 FLEX 10K FLEX 10KA FLEX 10KE 144-Pin TQFP EPM7128A EPM7256A EPM7384AE EPM7512AE EPF6010A EPF6016 EPF6016A EPF6024A EPF8820A EPF10K10 EPF10K20 EPF10K10A EPF10K30A EPF10K30E EPF10K50E Altera Corporation 17

21 100-Pin PQFP Pin (16.95) (17.44) Max. (3.40) Min. (0.25) See Detail A Indicates location of Pin (0.22) (0.38) (22.95) (23.45) (0.65) Detail A (19.90) (20.10) (0.65) (0.95) (13.90) (14.10) Package Type MAX 7000 MAX 7000S 100-Pin PQFP EPM7064 EPM7096 EPM7128E EPM7160E EPM7128S EPM7160S 18 Altera Corporation

22 160-Pin PQFP (1.52) Indicates location of Pin (0.38) 45 Chamfer (3 Places) Max. (4.07) Min. (0.25) Pin 1 See Detail A (0.22) (0.38) (0.65) Ref. (25.35) Detail A (0.1143) (0.635) Min (0.508) (0.762) (27.90) (28.10) (30.95) (31.45) (3.17) (3.67) Package Type MAX 7000 MAX 7000S FLEX Pin PQFP EPM7128E EPM7160E EPM7192E EPM7256E EPM7128S EPM7160S EPM7192S EPF8452A* EPF8636A* EPF8820A* * No pin migration. Altera Corporation 19

23 208-Pin PQFP (1.52) (30.35) (30.85) (27.90) (28.10) (4.10) Max (3.20) (3.60) (0.25) Min. Pin 1 Indicates location of Pin 1 See Detail A (0.17) (0.27) Detail A (0.1143) (0.50) (0.40) Min (0.50) (0.75) Chamfer (0.38) (3 Places) Package Type MAX 7000S MAX 7000A FLEX 6000 FLEX 8000 FLEX 10K FLEX 10KA FLEX 10KE 208-Pin PQFP** EPM7256S EPM7256A EPM7384AE EPM7512AE EPF6016 EPF6016A EPF6024A EPF8636A* EPF8820A* EPF81188A* EPF10K10 EPF10K10A EPF10K30A EPF10K30E EPF10K50E EPF10K100B EPF10K100E * No pin migration. ** Pin migration available with 208-Pin RQFP package. See page Altera Corporation

24 208-Pin RQFP (1.52) (30.35) (30.85) (27.90) (28.10) (4.10) Max (3.20) (3.60) Min. (0.25) Pin 1 Indicates location of Pin 1 See Detail A (0.17) (0.27) Metal Heat Sink Detail A (0.1143) (0.50) Min. (0.635) (0.46) (0.66) Chamfer (0.38) (3 Places) Package Type MAX 7000E MAX 7000S MAX 9000 FLEX 8000 FLEX 10K 208-Pin RQFP** EPM7256E EPM7256S EPM9320 EPM9320A EPM9400 EPM9480 EPM9480A EPM9560 EPM9560A EPF8820A EPF10K20 EPF10K30 EPF10K40 ** Pin migration available with 208-Pin PQFP package. See page 20. Altera Corporation 21

25 240-Pin PQFP (1.52) (34.35) (34.85) (31.90) (32.10) (4.10) Max (3.30) (3.50) Min. (0.25) Pin 1 See Detail A Indicates location of Pin (0.17) (0.27) Detail A (0.1143) (0.50) (0.46) (0.66) Min. (0.40) Chamber (0.38) (3 Places) Package Type FLEX 6000 FLEX 8000 FLEX 10KA FLEX 10KE 240-Pin PQFP** EPF6016 EPF81188A EPF10K30A EPF10K50E EPF6024A EPF81500A EPF10K100B EPF10K100E EPF10K130E ** Pin migration available with 240-Pin RQFP package. See page Altera Corporation

26 240-Pin RQFP (1.52) (34.35) (34.85) (31.90) (32.10) (4.10) Max (3.30) (3.50) (0.25) Min. Pin 1 Indicates location of Pin 1 See Detail A (0.17) (0.27) Metal Heat Sink (0.1143) Detail A (0.50) Min. (0.40) (0.46) (0.66) Chamfer (0.38) (3 Places) Package Type MAX 9000 FLEX 8000 FLEX 10K FLEX 10KA FLEX 10KE 240-Pin RQFP** EPM9400 EPM9480 EPM9480A EPM9560 EPM9560A EPF81188A EPF81500A EPF10K20 EPF10K30 EPF10K40 EPF10K50 EPF10K70 EPF10K50V EPF10K100A EPF10K200E EPF10K250E ** Pin migration available with 240-Pin PQFP package. See page 22. Altera Corporation 23

27 304-Pin RQFP (42.35) (42.85) (39.90) (40.10) (4.50) Max (3.70) (3.90) Min. (0.25) Pin 1 Indicates location of Pin 1 See Detail A (0.17) (0.27) (0.50) Metal Heat Sink (0.09) (0.20) Detail A Ref. (1.30) (0.40) Min (0.40) (0.60) Package Type MAX 9000 FLEX Pin RQFP EPM9560 EPF81500A 24 Altera Corporation

28 20-Pin PLCC Pin (1.14) (8.89) (9.04) (9.78) (10.03) (1.27) (1.07) (1.22) (0.66) (0.81) See Detail A (0.33) (0.53) (2.29) (3.04) (4.19) (4.57) (7.37) (8.13) Max. (0.254) Detail A Min. (0.508) (0.64) R (1.14) Note: Controlling measurement is in inches. Millimeter measurements (shown in parentheses) are for reference only. Package Type 20-Pin PLCC Configuration EPROM EPC1064 EPC1064V EPC1213 EPC1441 EPC1 EPC2 Altera Corporation 25

29 44-Pin PLCC (1.14) Pin Ref. (12.7) See Detail A Detail A (1.07) (1.22) (0.33) (0.53) (14.99) (16.00) Max. (0.254) Min. (0.508) (0.64) R (1.14) (16.51) (16.66) (17.39) (17.65) (1.27) (0.66) (0.81) Min. (0.508) (2.29) (3.05) (4.19) (4.57) Note: Controlling measurement is in inches. Millimeter measurements (shown in parentheses) are for reference only. Package Type MAX 7000 MAX 7000S MAX 7000A 44-Pin PLCC EPM7032 EPM7032S EPM7032AE EPM7032V EPM7064S EPM7064AE EPM Altera Corporation

30 84-Pin PLCC (1.07) (1.42) (1.143) 45 Pin (1.07) (1.22) See Detail A Indicates relative location of Pin 1 Detail A (27.69) (28.70) (0.254) Max (0.508) Min (0.64) (1.14) R (0.33) (0.53) (29.20) (29.41) (1.27) (30.09) (30.35) (25.4) Ref (0.660) (0.813) Min. (0.508) (2.29) (3.30) (4.19) (5.08) Note: Controlling measurement is in inches. Millimeter measurements (shown in parentheses) are for reference only. Package Type MAX 7000 MAX 7000S MAX 7000A MAX 9000 FLEX 8000 FLEX 10K 84-Pin PLCC EPM7064 EPM7096 EPM7128E EPM7160E EPM7064S EPM7128S EPM7160S EPM7064AE EPM7128A EPM9320 EPM9320A EPM9400* EPF8282A EPF8452A EPF8636A EPF10K10 * No vertical migration. Altera Corporation 27

31 8-Pin PDIP (7.62) (8.26) (9.14) (9.65) (2.54) (3.30) (4.32) Max (0.686) (0.940) Pin (6.10) (6.60) (0.381) Min (1.40) (1.65) (0.406) (0.508) (3.18) (3.43) (0.20) (0.30) (7.62) Note: Controlling measurement is in inches. Millimeter measurements (shown in parentheses) are for reference only. Package Type 8-Pin PDIP Configuration EPROM EPC1064 EPC1064V EPC1213 EPC1441 EPC1 28 Altera Corporation

32 403-Pin PGA Indicates location of Pin A ± (49.78 ± 0.48) AU AT AR AP AN AM AL AK AJ AH AG AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A (45.72) (2.54) (1.27) Standoff (4 Places) ± (1.27 ± 0.127) (0.20) Dia. (1.27) ± (0.46 ± 0.05) Dia. Indicates location of Pin A1 (not a pin) Rad. (0.51) (4 Places) ± (2.29 ± 0.229) ± (4.572 ± 0.254) Note: Controlling measurement is in inches. Millimeter measurements (shown in parentheses) are for reference only. Package Type 403-Pin PGA FLEX 10K EPF10K50 Altera Corporation 29

33 503-Pin PGA ± (57.40 ± 0.381) Indicates location of Pin A ± (40.64 ± 0.304) ± (38.10 ± 0.254) BC BB BA AY AW AV AU AT AR AP AN AM AL AK AJ AH AG AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A (53.34) ± (27.81 ± 0.279) (2.54) (1.27) Standoff (4 Places) ± (33.88 ± 3.56) (3.68) Max ± (4.572 ± 0.254) (1.27) Dia ± Dia. (0.46 ± 0.051) Indicates location of Pin A1 (not a pin) Rad. (0.51) (4 Places) (0.966) (1.22) ± (1.27 ± 0.127) ± (0.20 ± 0.127) Note: Controlling measurement is in inches. Millimeter measurements (shown in parentheses) are for reference only. Package Type 503-Pin PGA FLEX 10K EPF10K70 EPF10K Altera Corporation

34 599-Pin PGA Indicates location of A1 (not a pin) 2.46 ± ( ± 0.381) 1.6 ± (40.64 ± ) 1.5 ± 0.01 (38.1 ± 0.254) BG BF BE BD BC BB BA AY AW AV AU AT AR AP AN AM AL AK AJ AH AG AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A 2.30 (58.42) ± 0.01 ( ± 0.254) 0.1 (2.54) 0.05 (1.27) 1.45 ± (36.83 ± 0.381) Standoff (4 Places) (3.683) Max ± 0.01 (4.572 ± 0.254) 0.05 (1.27) Dia ± Dia. ( ± ) Indicates quadrant containing location A (1.016) 0.05 ± (1.27 ± 0.127) ± ( ± 0.127) Note: Controlling measurement is in inches. Millimeter measurements (shown in parentheses) are for reference only. Package Type 599-Pin PGA FLEX 10KA EPF10K130V EPF10K250A FLEX 10KE EPF10K200E EPF10K250E Altera Corporation 31

35 for Other Altera Packages PQFP PGA Package Type MAX 7000A Package Type MAX 7000E FLEX Pin PQFP EPM7032AE 160-Pin PGA EPM7192E EPF8452A PLCC Package Type MAX 7000E FLEX 8000 Package Type MAX Pin PLCC EPM7096 MAX 7000A EPM7064AE 192-Pin PGA EPM7256E EPF8636A EPF8820A Package Type FLEX Pin PGA EPF81188A Package Type MAX 9000 FLEX Pin PGA EPM9320 EPM9560 EPF81500A Device Package Dimension Formats Formats Used for Package Outline Dimensions Abbreviations Used for Package Outline Dimensions min. inches (min. millimeters) max. inches (max. millimeters) or nominal inches ± tolerance (nominal millimeters ± tolerance) or inches, Min., Max., Ref.,, R, Dia., (millimeters) Device Package Type P: Plastic dual in-line (PDIP) L: Plastic J-lead chip carrier (PLCC) T: Thin plastic quad flat pack (TQFP) Q: Plastic quad flat pack (PQFP) R: Power quad flat pack (RQFP) G: Ceramic pin-grid array (PGA) B: Ball-grid array (BGA) F: FineLine ball-grid array (FineLine BGA) Min. Max. Ref. R Dia. Basic. Represents theoretical exact dimensions or dimension target. Minimum dimension specified. Maximum dimension specified. Reference. Represents dimension for reference use only. This value is not a device specification. Typical. Provided as a general value. This value is not a device specification. Radius. Represents curve dimension. Diameter. Represents curve dimension. Square. Indicates a square feature for a package with equal length and width dimensions. 32 Altera Corporation

36 Device Package Guide MAX 7000 Family Page FLEX 6000 Family Page Page EPM7032/V/S/AE 44-Pin PLCC 26 EPM7032/V/S/AE 44-Pin TQFP 15 EPM7032AE 44-Pin PQFP 32 EPM7064/S/AE 44-Pin PLCC 26 EPM7064/S/AE 44-Pin TQFP 15 EPM7064AE 68-Pin PLCC 32 EPM7064/S/AE 84-Pin PLCC 27 EPM Pin PQFP 18 EPM7064S/AE 100-Pin TQFP 16 EPM7064AE 100-Pin FineLine BGA 6 EPM Pin PLCC 32 EPM Pin PLCC 27 EPM Pin PQFP 18 EPM7128E/S/A 84-Pin PLCC 27 EPM7128E/S 100-Pin PQFP 18 EPM7128S/A 100-Pin TQFP 16 EPM7128A 100-Pin FineLine BGA 6 EPM7128A 144-Pin TQFP 17 EPM7128E/S 160-Pin PQFP 19 EPM7128A 256-Pin FineLine BGA 7 EPM7160E/S 84-Pin PLCC 27 EPM7160E/S 100-Pin PQFP 18 EPM7160S 100-Pin TQFP 16 EPM7160E/S 160-Pin PQFP 19 EPM7192E/S 160-Pin PQFP 19 EPM7192E 160-Pin PGA 32 EPM7256A 100-Pin TQFP 16 EPM7256A 144-Pin TQFP 17 EPM7256E 160-Pin PQFP 19 EPM7256E 192-Pin PGA 32 EPM7256S/A 208-Pin PQFP 20 EPM7256E/S 208-Pin RQFP 21 EPM7256A 100-Pin FineLine BGA 6 EPM7256A 256-Pin FineLine BGA 7 EPM7384AE 144-Pin TQFP 17 EPM7384AE 208-Pin PQFP 20 EPM7384AE 256-Pin FineLine BGA 7 EPM7512AE 144-Pin TQFP 17 EPM7512AE 208-Pin PQFP 20 EPM7512AE 256-Pin FineLine BGA 7 MAX 9000 Family EPM9320/A 84-Pin PLCC 27 EPM9320/A 208-Pin RQFP 21 EPM Pin PGA 32 EPM9320/A 356-Pin BGA 12 EPM Pin PLCC 27 EPM Pin RQFP 21 EPM Pin RQFP 23 EPM9480/A 208-Pin RQFP 21 EPM9480/A 240-Pin RQFP 23 EPM9560/A 208-Pin RQFP 21 EPM9560/A 240-Pin RQFP 23 EPM Pin PGA 32 EPM Pin RQFP 24 EPM9560/A 356-Pin BGA 12 EPF6010A 100-Pin TQFP 16 EPF6010A 144-Pin TQFP 17 EPF6010A 100-Pin FineLine BGA 6 EPF6010A 256-Pin FineLine BGA 7 EPF6016A 100-Pin TQFP 16 EPF6016A 100-Pin FineLine BGA 6 EPF6016/A 144-Pin TQFP 17 EPF6016/A 208-Pin PQFP 20 EPF Pin PQFP 22 EPF Pin BGA 11 EPF6016A 256-Pin FineLine BGA 7 EPF6024A 144-Pin TQFP 17 EPF6024A 208-Pin PQFP 20 EPF6024A 240-Pin PQFP 22 EPF6024A 256-Pin BGA 11 EPF6024A 256-Pin FineLine BGA 7 FLEX 8000 Family EPF8282A 84-Pin PLCC 27 EPF8282A/AV 100-Pin TQFP 16 EPF8452A 84-Pin PLCC 27 EPF8452A 100-Pin TQFP 16 EPF8452A 160-Pin PQFP 19 EPF8452A 160-Pin PGA 32 EPF8636A 84-Pin PLCC 27 EPF8636A 160-Pin PQFP 19 EPF8636A 192-Pin PGA 32 EPF8636A 208-Pin PQFP 20 EPF8820A 144-Pin TQFP 17 EPF8820A 160-Pin PQFP 19 EPF8820A 192-Pin PGA 32 EPF8820A 208-Pin PQFP 20 EPF8820A 208-Pin RQFP 21 EPF8820A 225-Pin BGA 10 EPF81188A 208-Pin PQFP 20 EPF81188A 232-Pin PGA 32 EPF81188A 240-Pin PQFP 22 EPF81188A 240-Pin RQFP 23 EPF81500A 240-Pin PQFP 22 EPF81500A 240-Pin RQFP 23 EPF81500A 280-Pin PGA 32 EPF81500A 304-Pin RQFP 24 FLEX10K Family EPF10K10 84-Pin PLCC 27 EPF10K10A 100-Pin TQFP 16 EPF10K10/A 144-Pin TQFP 17 EPF10K10/A 208-Pin PQFP 20 EPF10K10A 256-Pin FineLine BGA 7 EPF10K Pin TQFP 17 EPF10K Pin RQFP 21 EPF10K Pin RQFP 23 EPF10K30A/E 144-Pin TQFP 17 EPF10K Pin RQFP 21 EPF10K30A/E 208-Pin PQFP 20 EPF10K Pin RQFP 23 EPF10K30A 240-Pin PQFP 22 EPF10K30A/E 256-Pin FineLine BGA 7 EPF10K30/A 356-Pin BGA 12 EPF10K30A/E 484-Pin FineLine BGA 8 EPF10K Pin RQFP 21 EPF10K Pin RQFP 23 EPF10K50E 144-Pin TQFP 17 EPF10K50E 208-Pin PQFP 20 EPF10K50E 240-Pin PQFP 22 EPF10K50/V 240-Pin RQFP 23 EPF10K50E 256-Pin FineLine BGA 7 EPF10K50/V 356-Pin BGA 12 EPF10K Pin PGA 29 EPF10K50V/E 484-Pin FineLine BGA 8 EPF10K Pin RQFP 23 EPF10K Pin PGA 30 EPF10K100B/E 208-Pin PQFP 20 EPF10K100B/E 240-Pin PQFP 22 EPF10K100A 240-Pin RQFP 23 EPF10K100B/E 256-Pin FineLine BGA 7 EPF10K100A/E 356-Pin BGA 12 EPF10K100A/E 484-Pin FineLine BGA 8 EPF10K Pin PGA 30 EPF10K100A 600-Pin BGA 13 EPF10K130E 240-Pin PQFP 22 EPF10K130E 484-Pin FineLine BGA 8 EPF10K130V 599-Pin PGA 31 EPF10K130V 600-Pin BGA 13 EPF10K130E 672-Pin FineLine BGA 9 EPF10K200E 240-Pin RQFP 23 EPF10K200E 599-Pin PGA 31 EPF10K200E 600-Pin BGA 13 EPF10K200E 672-Pin FineLine BGA 9 EPF10K250E 240-Pin RQFP 23 EPF10K250A/E 599-Pin PGA 31 EPF10K250A 600-Pin BGA 13 EPF10K250E 672-Pin FineLine BGA 9 Configuration EPROM Family EPC1064/V 8-Pin PDIP 28 EPC1064/V 20-Pin PLCC 25 EPC1064/V 32-Pin TQFP 14 EPC Pin PDIP 28 EPC Pin PLCC 25 EPC Pin PDIP 28 EPC Pin PLCC 25 EPC Pin TQFP 14 EPC1 8-Pin PDIP 28 EPC1 20-Pin PLCC 25 EPC2 20-Pin PLCC 25 EPC2 32-Pin TQFP 14 Altera Offices Altera Corporation Altera European Headquarters Altera Japan Ltd. Altera International Ltd. 101 Innovation Drive Holmers Farm Way Shinjuku Mitsui Bldg. 36F Suites , Tower 1 San Jose, CA High Wycombe 1-1, Nishi-Shinjuku, 2 Chome MetroPlaza Telephone: (408) Buckinghamshire Shinjuku-ku, Tokyo Hing Fong Road HP12 4XF Japan Kwai Fong, New Territories United Kingdom Telephone: (81) Hong Kong Telephone: (44) Telephone: (852) Copyright 1998 Altera Corporation. Altera, FLEX, FLEX 10K, FLEX 10KA, FLEX 10KE, FLEX 8000, FLEX 6000, MAX, MAX 9000, MAX 9000A, MAX 7000, MAX 7000A, MAX 7000S, FineLine BGA, SameFrame, and specific device designations are trademarks and/or service marks of Altera Corporation in the United States and other countries. Other brands or products are trademarks of their respective holders. The specifications contained herein are subject to change without notice. All rights reserved. M-GB-ALTERAPKG-01

SameFrame Pin-Out Design for FineLine BGA Packages

SameFrame Pin-Out Design for FineLine BGA Packages SameFrame Pin-Out Design for Packages June 1999, er. 1 Application Note 90 Introduction A key adantage of designing with programmable logic is the flexibility which allows designers to quickly modify or

More information

Selecting Sockets. Introduction. Mechanical Considerations for J-Lead Sockets. for Altera Devices

Selecting Sockets. Introduction. Mechanical Considerations for J-Lead Sockets. for Altera Devices Selecting Sockets for Altera Devices January 1998, ver. 2 Application Note 80 Introduction Altera offers a number of surface-mount packages. Surface-mount assembly places unique demands on the development

More information

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N April 2003, ver. 15 Altera Devices Figures 1 and 2 explain the ordering codes for Altera devices. Devices that have multiple pin counts for the same package include the pin count in their ordering codes.

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless P R O G R A M M A B L E L O G I C S O L U T I O N S Time-to-Market Success High Performance High Capacity Seamless Integration Altera Delivers Programmable Logic Solutions Companies that can deliver new

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

MAX II CPLD Applications Brochure

MAX II CPLD Applications Brochure MAX II CPLD Applications Brochure Whether designing for communications, consumer, computing, or industrial applications, MAX II devices offer the features designers need when developing control path applications

More information

Development Tools Selector Guide

Development Tools Selector Guide Development Tools Selector Guide July 1998 Introducing Altera Programmable Logic Development Tools Altera offers the fastest, most powerful, and most flexible programmable logic development software and

More information

Introduction Overview Of Intel Packaging Technology

Introduction Overview Of Intel Packaging Technology 1 1.1 Overview Of Intel Packaging Technology As semiconductor devices become significantly more comple, electronics designers are challenged to fully harness their computing power. Transistor count in

More information

AT17A Series FPGA Configuration EEPROM Memory. Application Note. FPGAs. AT17A Series Conversions from Altera FPGA Serial Configuration Memories

AT17A Series FPGA Configuration EEPROM Memory. Application Note. FPGAs. AT17A Series Conversions from Altera FPGA Serial Configuration Memories ATA Series Conversions from Altera FPGA Serial Configuration Memories Introduction The Atmel ATA FPGA Configuration EEPROM () is a serial memory that can be used to load SRAM based FPGAs. This application

More information

Design Guidelines for 100 Gbps - CFP2 Interface

Design Guidelines for 100 Gbps - CFP2 Interface 2014.01.16 AN-684 Subscribe This document shows an example layout design that implements a 4 x 25/28 Gbps CFP2 module interface that meets the insertion and return loss mask requirements proposed in the

More information

Configuration Devices for

Configuration Devices for Configuration Devices for SRAM-Based LUT Devices February 2002, ver. 12.1 Data Sheet Features Serial device family for configuring APEX TM II, APEX 20K (including APEX 20K, APEX 20KC, and APEX 20KE), Mercury

More information

Using I/O Standards in the Quartus Software

Using I/O Standards in the Quartus Software White Paper Using I/O Standards in the Quartus Software This document shows how to implement and view the selectable I/O standards for APEX TM 20KE devices in the Quartus TM software and give placement

More information

Board Design Guidelines for Intel Programmable Device Packages

Board Design Guidelines for Intel Programmable Device Packages Board Design Guidelines for Intel Programmable Device Packages AN-114 2017.02.24 Subscribe Send Feedback Contents Contents 1 Board Design Guidelines for Intel Programmable Device Packages...3 1.1 Overview

More information

Altera Programming Hardware

Altera Programming Hardware Altera Programming Hardware June 1996, er. 3 Data Sheet General Description Altera offers a ariety of hardware to program and configure Altera deices. For conentional deice programming, in-system programming,

More information

Battery-Voltage. 16K (2K x 8) Parallel EEPROMs AT28BV16. Features. Description. Pin Configurations

Battery-Voltage. 16K (2K x 8) Parallel EEPROMs AT28BV16. Features. Description. Pin Configurations Features 2.7 to 3.6V Supply Full Read and Write Operation Low Power Dissipation 8 ma Active Current 50 µa CMOS Standby Current Read Access Time - 250 ns Byte Write - 3 ms Direct Microprocessor Control

More information

Advantages of ISP-Based PLDs over Traditional PLDs

Advantages of ISP-Based PLDs over Traditional PLDs Adantages of ISP-Based PLDs oer Traditional PLDs February 1998, er. 2 Product Information Bulletin 24 Introduction As time-to-market pressures increase, design engineers continually look for ways to adance

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

256K (32K x 8) 3-volt Only Flash Memory

256K (32K x 8) 3-volt Only Flash Memory Features Single Supply Voltage, Range 3V to 3.6V 3-Volt Only Read and Write Operation Software Protected Programming Low Power Dissipation 15 ma Active Current 40 µa CMOS Standby Current Fast Read Access

More information

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs Features Single 3.3V ± 10% Supply Fast Read Access Time - 200 ns Automatic Page Write Operation Internal Address and Data Latches for 128 Bytes Internal Control Timer Fast Write Cycle Time Page Write Cycle

More information

Additional Slides for Lecture 17. EE 271 Lecture 17

Additional Slides for Lecture 17. EE 271 Lecture 17 Additional Slides for Lecture 17 Advantages/Disadvantages of Wire Bonding Pros Cost: cheapest packages use wire bonding Allows ready access to front side of die for probing Cons Relatively high inductance

More information

Package (1C) Young Won Lim 3/20/13

Package (1C) Young Won Lim 3/20/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

Package (1C) Young Won Lim 3/13/13

Package (1C) Young Won Lim 3/13/13 Copyright (c) 2011-2013 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any later version published

More information

The Automotive-Grade Device Handbook

The Automotive-Grade Device Handbook The Automotive-Grade Device Handbook Subscribe AUT5V1 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Overview... 1-1 Altera Automotive-Grade Devices... 1-1 Altera Automotive Qualifications...

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

Configuration Devices

Configuration Devices Configuration Devices for APEX & FLEX Devices November 1999, ver. 10.03 Data Sheet Features Serial device family for configuring FLEX and APEX TM devices Easy-to-use 4-pin interface to FLEX and APEX devices

More information

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations Features Fast Read Access Time - 70 ns 5-Volt Only Reprogramming Sector Program Operation Single Cycle Reprogram (Erase and Program) 1024 Sectors (128 bytes/sector) Internal Address and Data Latches for

More information

Configuring SRAM-Based LUT Devices

Configuring SRAM-Based LUT Devices Configuring SRAM-Based LUT Devices February 2002, ver. 3.0 Application Note 116 Introduction APEX TM II, APEX 20K, Mercury TM, ACEX TM 1K, FLEX 10K, and FLEX 6000 devices can be configured using one of

More information

DIP Top View VCC WE A17 NC A16 A15 A12 A14 A13 A8 A9 A11 A7 A6 A5 A4 A3 A2 A1 A0 I/O0 A10 CE I/O7 I/O6 I/O5 I/O4 I/O3 I/O1 I/O2 GND.

DIP Top View VCC WE A17 NC A16 A15 A12 A14 A13 A8 A9 A11 A7 A6 A5 A4 A3 A2 A1 A0 I/O0 A10 CE I/O7 I/O6 I/O5 I/O4 I/O3 I/O1 I/O2 GND. Features Fast Read Access Time - 70 ns 5-volt Only Reprogramming Sector Program Operation Single Cycle Reprogram (Erase and Program) 1024 Sectors (256 bytes/sector) Internal Address and Data Latches for

More information

Ceramic Column Grid Array

Ceramic Column Grid Array Application Note AC190 Ceramic Column Grid Array Introduction Ceramic Column Grid Array (CCGA) packages are becoming increasingly popular as an alternative to Ceramic Ball Grid Array (CBGA) packages for

More information

256K (32K x 8) Paged Parallel EEPROMs AT28C256. Features. Description

256K (32K x 8) Paged Parallel EEPROMs AT28C256. Features. Description Features Fast Read Access Time - 150 ns Automatic Page Write Operation Internal Address and Data Latches for 64 Bytes Internal Control Timer Fast Write Cycle Times Page Write Cycle Time: 3 ms or 10 ms

More information

Battery-Voltage. 256K (32K x 8) Parallel EEPROMs AT28BV256. Features. Description. Pin Configurations

Battery-Voltage. 256K (32K x 8) Parallel EEPROMs AT28BV256. Features. Description. Pin Configurations Features Single 2.7V - 3.6V Supply Fast Read Access Time - 200 ns Automatic Page Write Operation Internal Address and Data Latches for 64 Bytes Internal Control Timer Fast Write Cycle Times Page Write

More information

Chapter 1 Introduction of Electronic Packaging

Chapter 1 Introduction of Electronic Packaging Chapter 1 Introduction of Electronic Packaging 1 Introduction of Electronic Packaging 2 Why Need Package? IC Foundry Packaging house Module Sub-system Product 3 Concept of Electric Packaging 4 Moore s

More information

256 (32K x 8) High-speed Parallel EEPROM AT28HC256

256 (32K x 8) High-speed Parallel EEPROM AT28HC256 Features Fast Read Access Time 70 ns Automatic Page Write Operation Internal Address and Data Latches for 64 Bytes Internal Control Timer Fast Write Cycle Times Page Write Cycle Time: 3 ms or 10 ms Maximum

More information

Solder Reflow Guide for Surface Mount Devices

Solder Reflow Guide for Surface Mount Devices April 2008 Introduction Technical Note TN1076 This technical note provides general guidelines for a solder reflow and rework process for Lattice surface mount products. The data used in this document is

More information

2-wire Serial EEPROM AT24C01A AT24C02 AT24C04 AT24C08 AT24C16

2-wire Serial EEPROM AT24C01A AT24C02 AT24C04 AT24C08 AT24C16 Features Low-voltage and Standard-voltage Operation 5.0 (V CC = 4.5V to 5.5V) 2.7 (V CC = 2.7V to 5.5V) 2.5 (V CC = 2.5V to 5.5V) 1.8 (V CC = 1.8V to 5.5V) Internally Organized 128 x 8 (1K), 256 x 8 (2K),

More information

DIP Top View A18 A16 A15 A12 A7 A6 A5 A4 A3 A2 A1 A0 I/O0 I/O1 I/O2 GND VCC A17 A14 A13 A8 A9 A11 A10 I/O7 I/O6 I/O5 I/O4 I/O3 VCC A18 A17

DIP Top View A18 A16 A15 A12 A7 A6 A5 A4 A3 A2 A1 A0 I/O0 I/O1 I/O2 GND VCC A17 A14 A13 A8 A9 A11 A10 I/O7 I/O6 I/O5 I/O4 I/O3 VCC A18 A17 Features Single-voltage Operation 5V Read 5V Reprogramming Fast Read Access Time 55 ns Internal Program Control and Timer 16-Kbyte Boot Block with Lockout Fast Erase Cycle Time 10 seconds Byte-by-byte

More information

PTVSxS1UR series. 1. Product profile. 400 W Transient Voltage Suppressor. 1.1 General description. 1.2 Features and benefits. 1.

PTVSxS1UR series. 1. Product profile. 400 W Transient Voltage Suppressor. 1.1 General description. 1.2 Features and benefits. 1. Rev. 3 10 January 2011 Product data sheet 1. Product profile 1.1 General description 400 W unidirectional Transient Voltage Suppressor (TVS) in a SOD123W small and flat lead low-profile Surface-Mounted

More information

DETAIL SPECIFICATION SHEET

DETAIL SPECIFICATION SHEET INCH-POUND MIL-DTL-55302/128D 18 April 2013 SUPERSEDING MIL-DTL-55302/128D 4 June 2004 DETAIL SPECIFICATION SHEET CONNECTORS, PRINTED CIRCUIT SUBASSEMBLY AND ACCESSORIES: RECEPTACLE, DOUBLE ROW, 4 THROUGH

More information

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5

LQFP. Thermal Resistance. Body Size (mm) Pkg. 32 ld 7 x 7 5 x ld 7 x 7 5 x ld 14 x 14 8 x ld 20 x x 8.5 LQFP Low Profile Quad Flat Pack Packages (LQFP) Amkor offers a broad line of LQFP IC packages designed to provide the same great benefits as MQFP packaging with a 1.4 mm body thickness. These packages

More information

Section I. FPGA Configuration Devices

Section I. FPGA Configuration Devices Section I. FPGA Configuration Devices This section provides information on Altera configuration devices. The following chapters contain information about how to use these devices, feature descriptions,

More information

2-wire Serial EEPROM AT24C512

2-wire Serial EEPROM AT24C512 Features Low-voltage and Standard-voltage Operation 5.0 (V CC = 4.5V to 5.5V). (V CC =.V to 5.5V). (V CC =.V to.v) Internally Organized 5,5 x -wire Serial Interface Schmitt Triggers, Filtered Inputs for

More information

LatticeECP2/M Density Migration

LatticeECP2/M Density Migration August 2007 Introduction Technical Note TN1160 Due to the programmable nature of FPGA devices, parts are chosen based on estimates of a system s design requirements. Choices of which FPGA to implement

More information

2-wire Serial EEPROM AT24C512. Preliminary. 2-Wire Serial EEPROM 512K (65,536 x 8) Features. Description. Pin Configurations.

2-wire Serial EEPROM AT24C512. Preliminary. 2-Wire Serial EEPROM 512K (65,536 x 8) Features. Description. Pin Configurations. Features Low-voltage and Standard-voltage Operation 5.0 (V CC = 4.5V to 5.5V) 2.7 (V CC = 2.7V to 5.5V) 1.8 (V CC = 1.8V to 3.6V) Internally Organized 65,536 x 8 2-wire Serial Interface Schmitt Triggers,

More information

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O MAX 3000A Programmable Logic Device Family June 2006, ver. 3.5 Data Sheet Features... High performance, low cost CMOS EEPROM based programmable logic devices (PLDs) built on a MAX architecture (see Table

More information

Two-wire Serial EEPROM AT24C01A AT24C02 AT24C04 AT24C08 (1) AT24C16 (2)

Two-wire Serial EEPROM AT24C01A AT24C02 AT24C04 AT24C08 (1) AT24C16 (2) Features Low-voltage and Standard-voltage Operation.7 (V CC =.7V to.v).8 (V CC =.8V to.v) Internally Organized 8 x 8 (K), 6 x 8 (K), x 8 (4K), 04 x 8 (8K) or 048 x 8 (6K) Two-wire Serial Interface Schmitt

More information

Using the PCB Component Wizard

Using the PCB Component Wizard Published on Online Documentation for Altium Products (https://www.altium.com/documentation) Home > PCB Component Wizard Using Altium Documentation Modified by Phil Loughhead on Jun 19, 2017 The PCB Component

More information

4-Megabit (512K x 8) 5-volt Only 256-Byte Sector Flash Memory AT29C040A. Features. Description. Pin Configurations

4-Megabit (512K x 8) 5-volt Only 256-Byte Sector Flash Memory AT29C040A. Features. Description. Pin Configurations Features Fast Read Access Time - 120 ns 5-Volt Only Reprogramming Sector Program Operation Single Cycle Reprogram (Erase and Program) 2048 Sectors (256 bytes/sector) Internal Address and Data Latches for

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices July 2008, Version 1.3 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE

Samsung emcp. WLI DDP Package. Samsung Multi-Chip Packages can help reduce the time to market for handheld devices BROCHURE Samsung emcp Samsung Multi-Chip Packages can help reduce the time to market for handheld devices WLI DDP Package Deliver innovative portable devices more quickly. Offer higher performance for a rapidly

More information

Agilent s AXI History

Agilent s AXI History Agilent s AXI History Development Overview Throughput Improvement Hardware Differences Software Release Comparison 8.X Software Stream Supportability Comparison Model and Major Change Details Model Differences

More information

Solder Reflow Guide for Surface Mount Devices Technical Note

Solder Reflow Guide for Surface Mount Devices Technical Note Solder Reflow Guide for Surface Mount Devices FPGA-TN-02041 Version 3.8 November 2017 Contents Acronyms in This Document... 3 1. Introduction... 4 2. Reflow... 4 3. Inspection... 4 4. Cleaning Recommendations...

More information

2-wire Serial EEPROMs AT24C128 AT24C256. Features. Description. Pin Configurations. 128K (16,384 x 8) 256K (32,768 x 8)

2-wire Serial EEPROMs AT24C128 AT24C256. Features. Description. Pin Configurations. 128K (16,384 x 8) 256K (32,768 x 8) Features Low-voltage and Standard-voltage Operation 5.0 (V CC = 4.5V to 5.5V) 2.7 (V CC = 2.7V to 5.5V). (V CC =.V to 3.6V) Internally Organized 6,34 x and 32,76 x 2-wire Serial Interface Schmitt Trigger,

More information

BGA Socketing Systems

BGA Socketing Systems DATA BOOK BGA-TECH04 (REV. 3/04) BGA Socketing Systems Search for a footprint or build a part number online at www.bgasockets.com Solutions for Virtually Any BGA Application BGA Socket Adapter System Designed

More information

Development Tools Selector Guide

Development Tools Selector Guide Development Tools Selector Guide June 2000 Introducing Altera Programmable Logic Development Tools Altera offers the fastest, most powerful, and most flexible programmable logic development software and

More information

5. Configuration Devices for SRAM-Based LUT Devices Data Sheet

5. Configuration Devices for SRAM-Based LUT Devices Data Sheet 5. Configuration Devices for SRAM-Based LUT Devices Data Sheet CF52005-2.1 Features Configuration device family for configuring Stratix series, Cyclone TM series, APEX TM II, APEX 20K (including APEX 20K,

More information

PGA / BGA / PLCC SOCKETS

PGA / BGA / PLCC SOCKETS PGA / BGA / PLCC SOCKETS QUICK SELECTOR CHART PGA / BGA / PLCC PGA BGA PLCC GRID 2.54 mm INTERSTITIAL 1.27 mm 1.27 mm 1 mm SOCKETS Solder tail 156 161 165 SEE PAGE 173 Surface mount 156 161 165 166 169

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Cabling a Data Center to TIA-942 Standard

Cabling a Data Center to TIA-942 Standard Cabling a Data Center to TIA-942 Standard BICSI 2006 Winter Conference Wednesday, January 25 th 2006 at 9:15 am Gary J. Bernstein, RCDD HellermannTyton Corporation Agenda Overview of TIA-942 Data Center

More information

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities Stratix High-Density, High-Performance FPGAs Available in Production Quantities February 2004 High-Density, High-Performance FPGAs Altera s award-winning Stratix FPGA family delivers the most comprehensive

More information

Feature EPF10K30E EPF10K50E EPF10K50S

Feature EPF10K30E EPF10K50E EPF10K50S FLEX 10KE Embedded Programmable Logic Family August 1999, ver. 2.02 Data Sheet Features... Embedded programmable logic devices (PLDs), providing System-on-a-Programmable-Chip TM integration in a single

More information

FPGA Configuration EEPROM Memory AT17C020A AT17LV020A

FPGA Configuration EEPROM Memory AT17C020A AT17LV020A Features Serial EEPROM Family for Configuring Altera FLEX Devices Simple Interface to SRAM FPGAs EE Programmable 2M-bit Serial Memories Designed to Store Configuration Programs for Field Programmable Gate

More information

Parts List, Charging Chart, Wiring Diagrams

Parts List, Charging Chart, Wiring Diagrams Parts List, Charging Chart, Wiring Diagrams PHH150, PHH180 3 Phase PACKAGE HEAT PUMP UNITS TABLE OF CONTENTS PARTS LIST------------ 2-5 PARTS DRAWING - - - - - - -6-16 CHARGING CHARTS - - - - - - - 17

More information

Altera Unveils FLEX 10KE Devices

Altera Unveils FLEX 10KE Devices Newsletter for Altera Customers Second Quarter May 1998 Altera Uneils FLEX 10KE Deices Altera recently uneiled enhanced ersions of FLEX 10K embedded programmable logic deices FLEX 10KE deices. Fabricated

More information

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024 AT49F1025

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024 AT49F1025 Features Single-voltage Operation 5V Read 5V Reprogramming Fast Read Access Time 35 ns Internal Program Control and Timer 8K Word Boot Block with Lockout Fast Erase Cycle Time 10 seconds Word-by-word Programming

More information

Working with Data sent to a Computer or Flash Stick

Working with Data sent to a Computer or Flash Stick Working with Data sent to a Computer or Flash Stick File Names and File Formats Data sent to a flash stick is saved as a.txt file. The file name for the saved file is in the format: DATA FILE TYPE Send

More information

PTVSxP1UP series. 600 W Transient Voltage Suppressor

PTVSxP1UP series. 600 W Transient Voltage Suppressor Rev. 2 6 January 2011 Product data sheet 1. Product profile 1.1 General description 600 W unidirectional Transient Voltage Suppressor (TVS) in a SOD128 small and flat lead Surface-Mounted Device (SMD)

More information

64K (8K x 8) Low-voltage Parallel EEPROM with Page Write and Software Data Protection AT28LV64B. 3-Volt, 64K E 2 PROM with Data Protection

64K (8K x 8) Low-voltage Parallel EEPROM with Page Write and Software Data Protection AT28LV64B. 3-Volt, 64K E 2 PROM with Data Protection Features Single 3.3V ± 10% Supply Hardware and Software Data Protection Low-power Dissipation 15mAActiveCurrent 20 µa CMOS Standby Current Fast Read Access Time - 200 ns Automatic Page Write Operation

More information

FPGA Configuration EEPROM Memory AT17C65 AT17LV65 AT17C128 AT17LV128 AT17C256 AT17LV256

FPGA Configuration EEPROM Memory AT17C65 AT17LV65 AT17C128 AT17LV128 AT17C256 AT17LV256 Features EE Programmable 65,536 x 1-, 131,072 x 1-, and 262,144 x 1-bit Serial Memories Designed to Store Configuration Programs for Field Programmable Gate Arrays (FPGAs) In-System Programmable via 2-wire

More information

Ceramic Column Grid Array

Ceramic Column Grid Array Application Note AC190 Ceramic Column Grid Array Table of Contents Introduction................................................ 1 CCGA Package Description....................................... 2 Column

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices October 2007, Version 1.2 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

Data Sheet. Prerequisites For Using the IPC Library. Library Features: IPC-SM Library. IPC-SM Standard. Library Organisation

Data Sheet. Prerequisites For Using the IPC Library. Library Features: IPC-SM Library. IPC-SM Standard. Library Organisation Data Sheet Prerequisites For Using the IPC Library This library works with and requires any Easy-PC For Windows V8.0 or a later version. Library Features: IPC-SM Library The optional IPC-SM library contains

More information

HIGH TECH CHIPS, INC. HTC151 LED FLASHER. 1.0 General description.

HIGH TECH CHIPS, INC. HTC151 LED FLASHER. 1.0 General description. HTC151 LED FLASHER 1.0 General description. This circuit is designed to work as led flasher. LED s sequentially turn on and off according to the part used. D[0:2] inputs are used to control flashing frequency.

More information

C-SMART Help: Monetary Contributions 3/4/2019 Page 1

C-SMART Help: Monetary Contributions 3/4/2019 Page 1 C-SMART Help: Monetary Contributions 3/4/2019 Page 1 C-SMART HELP IMPORT CONTRIBUTIONS C-SMART allows you to import contributor information if it is formatted as a comma-separated values (CSV) file, which

More information

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic

Intro to Logic Gates & Datasheets. Intro to Logic Gates & Datasheets. Introduction to Integrated Circuits. TTL Vs. CMOS Logic Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

Intro to Logic Gates & Datasheets. Digital Electronics

Intro to Logic Gates & Datasheets. Digital Electronics Intro to Logic Gates & Datasheets Digital Electronics Intro to Logic Gates & Datasheets This presentation will Introduce integrated circuits (ICs). Present an overview of : Transistor-Transistor Logic

More information

Zynq AP SoC Family

Zynq AP SoC Family Programmable Logic (PL) Processing System (PS) Zynq -7000 AP SoC Family Cost-Optimized Devices Mid-Range Devices Device Name Z-7007S Z-7012S Z-7014S Z-7010 Z-7015 Z-7020 Z-7030 Z-7035 Z-7045 Z-7100 Part

More information

Products, Services & Capabilities

Products, Services & Capabilities Products, Services & Capabilities Toll Free: (800) 404-0204 U.S. Only Tel: (952) 229-8200 Fax: (952) 229-8201 email: info@ironwoodelectronics.com Overview Company Overview Founded 1986 Over 5,000 products

More information

Introduction This manual presents an overview of the Assembly and Test Cost and Price Model and the basic workings of the model.

Introduction This manual presents an overview of the Assembly and Test Cost and Price Model and the basic workings of the model. Packaging Cost and Price Model User Manual IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 Tx: (978) 352 7610, Fx: (978) 352 3870, email: info@icknowledge.com Version 2019 model Introduction This manual

More information

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices

Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices Configuring APEX 20K, FLEX 10K & FLEX 6000 Devices December 1999, ver. 1.02 Application Note 116 Introduction APEX TM 20K, FLEX 10K, and FLEX 6000 devices can be configured using one of six configuration

More information

15 x 15 mm PBGA Daisy-Chain Application Report

15 x 15 mm PBGA Daisy-Chain Application Report 15 x 15 mm PBG Daisy-Chain pplication Report Motorola, Incorporated Semiconductor Products Sector 6501 William Cannon Drive West ustin TX 78735-8598 by Elisa Huang with Debbie Hagen and ndrew Mawer This

More information

ULL0402FC05C. Description. Mechanical characteristics PIN CONFIGURATION. SMART Phones Portable Electronics SMART Cards

ULL0402FC05C. Description. Mechanical characteristics PIN CONFIGURATION. SMART Phones Portable Electronics SMART Cards LOW CAPACITANCE unbumped flip chip tvs array Description The ULLC0402FC05C Flip Chip employs advanced silicon P/N junction technology for unmatched board-level transient voltage protection against Electrostatic

More information

pasic 3 FPGA Family Data Sheet

pasic 3 FPGA Family Data Sheet pasic 3 FPGA Family Data Sheet Device Highlights High Performance & High Density Up to 60,000 usable PLD gates with up to 316 I/Os 300 MHz 16-bit counters, 400 MHz datapaths 0.35 µm four-layer metal non-volatile

More information

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008

Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 Wafer Level Packaging The Promise Evolves Dr. Thomas Di Stefano Centipede Systems, Inc. IWLPC 2008 / DEVICE 1.E+03 1.E+02 1.E+01 1.E+00 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 Productivity Gains

More information

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET

ICS548A-03 LOW SKEW CLOCK INVERTER AND DIVIDER. Description. Features. Block Diagram DATASHEET DATASHEET ICS548A-03 Description The ICS548A-03 is a low cost, low skew, high-performance general purpose clock designed to produce a set of one output clock, one inverted output clock, and one clock divided-by-two.

More information

4-megabit (512K x 8) Single 2.7-volt Battery-Voltage Flash Memory AT49BV040 AT49BV040T AT49LV040 AT49LV040T

4-megabit (512K x 8) Single 2.7-volt Battery-Voltage Flash Memory AT49BV040 AT49BV040T AT49LV040 AT49LV040T Features Single Voltage for Read and Write: 2.7V to 3.6V (BV), 3.0V to 3.6V (LV) Fast Read Access Time 70 ns Internal Program Control and Timer 16K Bytes Boot Block with Lockout Fast Chip Erase Cycle Time

More information

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations

1-Megabit (128K x 8) 5-volt Only Flash Memory AT29C010A. Features. Description. Pin Configurations Features Fast Read Access Time - 70 ns 5-Volt Only Reprogramming Sector Program Operation Single Cycle Reprogram (Erase and Program) 1024 Sectors (128 bytes/sector) Internal Address and Data Latches for

More information

4. Configuration Devices for SRAM-Based LUT Devices Data Sheet

4. Configuration Devices for SRAM-Based LUT Devices Data Sheet December 2009 CF52005-2.4 4. Configuration Devices for SRAM-Based LUT Devices Data Sheet CF52005-2.4 Features This chapter describes configuration devices for SRAM-based LUT Devices. Configuration device

More information

DOI: / Eng

DOI: / Eng (/ ) - : : DOI: 10.4197 / Eng. 21-2.9 - - :. anoaman@kau.edu.sa mhmd@hashimi.info..... ١٦٩ ١٧٠.. [ - ]. [ - ]... - ١٧١... :........ - -.. ١٧٢ - - - (computing) [ ]. ( ).... :...... - - - ١٧٣..... ( ) )

More information

256K (32K x 8) High-speed Parallel EEPROM AT28HC256

256K (32K x 8) High-speed Parallel EEPROM AT28HC256 Features Fast Read Access Time 70 ns Automatic Page Write Operation Internal Address and Data Latches for 64 Bytes Internal Control Timer Fast Write Cycle Times Page Write Cycle Time: 3 ms or 10 ms Maximum

More information

+2.5 V Low Power Precision Voltage Reference REF43

+2.5 V Low Power Precision Voltage Reference REF43 +2.5 V Low Power Precision Voltage Reference REF43 FEATURES PIN CONFIGURATION GENERAL DESCRIPTION The REF43 is a low power precision reference providing a stable 2.5 V output independent of variations

More information

Configuration Handbook, Volume 2

Configuration Handbook, Volume 2 Configuration Handbook, Volume 2 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com CF5V2-2.2 Copyright 2005 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Package Naming Conventions

Package Naming Conventions 1 Norlinvest Ltd, BVI. is a trade name of Norlinvest Ltd. All Rights Reserved. No part of the Package Naming Conventions document can be reproduced in any form or by any means without the prior written

More information

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting

IPC-D-859. Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/IPC-D-859. The Institute for. Interconnecting The Institute for Interconnecting and Packaging Electronic Circuits Design Standard for Thick Film Multilayer Hybrid Circuits ANSI/ Original Publication December 1989 A standard developed by the Institute

More information

2-wire Serial EEPROM Smart Card Modules AT24C32SC AT24C64SC

2-wire Serial EEPROM Smart Card Modules AT24C32SC AT24C64SC Features Low-voltage and Standard-voltage Operation 5.0 (V CC = 4.5V to 5.5V) 2.7 (V CC = 2.7V to 5.5V) Internally Organized 4096 x 8, 8192 x 8 2-wire Serial Interface Schmitt Trigger, Filtered Inputs

More information

3-wire Serial EEPROMs AT93C46 AT93C56 AT93C57 AT93C66

3-wire Serial EEPROMs AT93C46 AT93C56 AT93C57 AT93C66 Features Low-voltage and Standard-voltage Operation 5.0 (V CC = 4.5V to 5.5V) 2.7 (V CC = 2.7V to 5.5V) 2.5 (V CC = 2.5V to 5.5V) 1.8 (V CC = 1.8V to 5.5V) User-selectable Internal Organization 1K: 128

More information

Parts List, Charging Chart, Wiring Diagrams

Parts List, Charging Chart, Wiring Diagrams Parts List, Charging Chart, Wiring Diagrams PHH036-072, 3 Phase PACKAGE HEAT PUMPS TABLE OF CONTENTS PARTS LIST---------- 2-4 PARTS DRAWING----- 5-10 CHARGING CHARTS-- 11-12 WIRING DIAGRAMS-- 13-24 Printed

More information

BGA THERMAL SOLUTIONS MATRIX

BGA THERMAL SOLUTIONS MATRIX WTS_p- // : AM Page Thermal Management Solutions for BGAs BGA THERMAL SOLUTIONS MATRIX The following table represents Wakefield s recommendations for a variety of standard BGA sizes. However, this is by

More information

Functional Differences Between the DSP56307 and DSP56L307

Functional Differences Between the DSP56307 and DSP56L307 Freescale Semiconductor Engineering Bulletin EB361 Rev. 3, 10/2005 Functional Differences Between the DSP56307 and DSP56L307 The DSP56307 and DSP56L307, two members of the Freescale DSP56300 family of

More information

1-megabit (64K x 16) 3-volt Only Flash Memory AT49LV1024 AT49LV1025

1-megabit (64K x 16) 3-volt Only Flash Memory AT49LV1024 AT49LV1025 Features Single-voltage Operation 3V Read 3.1V Programming Fast Read Access Time 55 ns Internal Program Control and Timer 8K Word Boot Block with Lockout Fast Erase Cycle Time 10 seconds Word-by-Word Programming

More information