Altera Product Overview. Altera Product Overview

Size: px
Start display at page:

Download "Altera Product Overview. Altera Product Overview"

Transcription

1 Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High Performance All Copper Low Cost 2.5 V Software Phase-Locked Loop CAM FIFO Multiplier CDR Termination Resistors Embedded Processors 1

2 Altera I/O Achievements 1 st 1 st 840-Mbps LVDS Support 1 st 1.8-V I/O Product-Term Family, SSTL-2/-3 1 st 1 st 1-Gbps LVDS Support 1.25-Gbps LVDS + CDR Support PLL 16 FLEX 10K 1 APEX 20KE 2000 MAX 7000B 2001 APEX II 2001 Mercury Typical Communications Datapath PMD 10-Gbps CDR Dedicated CDR Circuitry CDR Example: OC-12 Data Path SRAMs & SDRAMs Transceiver 622-MHz LVDS Half Rate Clock 311-MHz Clock Host Processor DDR, ZBT & QDR Interfacing Dedicated Timing Circuitry Multiple I/O Registers POS-PHY UTOPIA Flexbus Framer Half Rate Clock 311-MHz Clock Channels RapidIO HyperTransport 311-MHz Half Rate Clock HyperTransport Buffers Packet Processing CSIX HSTL Buffers Switch Fabric 2

3 The I/O All Stars APEX II: Complete I/O Flexibility Maximum Bandwidth in a PLD Broadest Protocol Support in a PLD Mercury: The Programmable ASSP Clock Data Recovery / SERDES Highest Performance PLD MAX 7000B I/O Super Glue Logic Intellectual Property A Key Ingredient for Success 3

4 APEX II I/O Support High-Speed I/O Capabilities 1-Gbps True-LVDS Solution, LVPECL, PCML & HyperTransport 624-Mbps, Flexible-LVDS Solution, LVPECL & HyperTransport RapidIO, UTOPIA IV, Flexbus CSIX, POS-PHY Level MHz HSTL Internal & External Memory Options 4-Kbit Memory Blocks with Bidirectional Read / Write Ports External Support for ZBT, DDR & QDR RAMs Maximized Chip-to-Chip Performance Clock-Data Synchronization 1-Gbps LVDS & LVPECL Up to 124 High-Speed Channels Programmable Output Drive APEX II Product Offerings Device Logic Elements RAM Bits LVDS Channels (Input/Output) 1-Gbps True-LVDS* 624-Mbps Flexible-LVDS** Max. User I/O Pins EP2A15 16, K 36 / / EP2A25 24, K 36 / / EP2A40 38, K 36 / / EP2A70 67,200 1,120K 36 / / 88 1,060 EP2A0 8,280 1,488K 36 / / 88 1,140 * True-LVDS Channels Also Support LVPECL, PCML & HyperTransport I/O ** Flexible-LVDS Channels Also Support LVPECL Inputs & HyperTransport I/O (3$6DPSOHV6KLSSLQJ 4

5 APEX II True-LVDS Circuitry Dedicated True-LVDS Circuitry Enables 1-Gbps Differential Signaling 36 Input & Output Channels per Device LVDS, LVPECL, PCML & HyperTransport Features 2 Improved & Independent Clock Domains Dedicated LVDS Circuitry General-Purpose Programmable Logic 1-Gbps 1-Bit Data 100-MHz Clock Deserializer (x8) 1-GHz Clock LVDS PLL (x10) (1-Gbps, x8 Example) System Logic Serializer (x8) 125-MHz 1-GHz Clock Clock LVDS PLL (x10) 1-Gbps 1-Bit Data 100-MHz Clock APEX II Clock-Data Synchronization CDS Circuitry Synchronizes True-LVDS Channels to System Clock Performed Independently on All Channels Source-Synchronous Transfer Limited to 2 Devices Clock Must Be Forwarded with Data Chip-to-Chip Transfer with CDS Unlimited Chip-to-Chip Communication $3(;.( $3(;.( Clock Clock 5

6 APEX II Phase-Locked Loops PLL PLL TxPLL TxPLL (8 Global Clocks) G3 G7 G1 G5 G2 G4 8 G2 G6 G0 G4 G1 G3 PLL PLL RxPLL RxPLL PLL Applications True-LVDS Double Data Rate I/O Flexible-LVDS Internal Clock Management Frequency Synthesis External System Clock Management Physical Layer I/O Standards General-Purpose PLL Dedicated LVDS PLL I/O Standards Supported I/O Standard LVPECL PCML HyperTransport HSTL Class I, II SSTL-2 2 Class I, II SSTL-3 3 Class I, II PCI-X Performance 1 Gbps 1 Gbps 1 Gbps 250 MHz 332 Mbps 167 MHz 133 MHz Type Differential Differential Differential Single-Ended Single-Ended Single-Ended Single-Ended 6

7 Complete Memory Solution Internal RAM Blocks 4 Kbits per Block True Dual-Port RAM Mode Packing Mode Mixed Port Widths External Memory Interfacing Memory Type ZBT SRAM SDR SDRAMs DDR SRAMs QDR SRAMs DDR SDRAMs Performance 200 MHz 200 MHz 334 Mbps 668 Mbps 334 Mbps QDR ESB DDR ZBT Unparalleled Total Device Bandwidth 1-Gbps True-LVDS 624-Mbps Flexible-LVDS 334-Mbps General-Purpose I/O 36 Input Channels 88 Input Channels 270+ Input Channels Up to 366 Gbps EP2A70 36 Output Channels 88 Output Channels 270+ Output Channels Compare Device Bandwidths Device True-LVDS Previously EP20K1500E 27 Gbps Today EP2A70 72 Gbps Flexible-LVDS Gbps General-Purpose Gbps Gbps Totals 137 Gbps 366 Gbps 7

8 The Mercury Solution = 1.25-Gbit CDR + PLD 125 Mbps 1.25 Gbps Data 125 Mbps 1.25 Gbps Data CDR Receive Dedicated Circuitry CDR Transmit Comma Detect Custom Logic Bandwidth- Optimized Programmable Logic Encode/ Decode DSP 8

9 Mercury Product Offerings The Programmable ASSP Device CDR Channels Logic Elements RAM Bits Max. User I/O EP1M , K 303 EP1M , K 486 (306DPSOHV6KLSSLQJ Mercury Clock-Data Recovery Single-Ended I/O Standards Single-Ended Standards Hit Noise Limitations at ~250 MHz 100 MHz 250 MHz Differential I/O Standards (LVDS) 100 Mbps 250 Mbps 500 Mbps 750 Mbps 1 Gbps Clock Data Recovery (CDR) CLOCK CLOCK CLOCK CLOCK CLOCK CLOCK CLOCK CLOCK CLOCK CLOCK CLOCKCLOCKCLOCK Clock Skew Overwhelms Differential I/O Standards at ~ 1 Gbps CDR Eliminates Barriers 1.25 Gbps & Beyond 100 Mbps 250 Mbps 500 Mbps 750 Mbps 1 Gbps

10 Mercury 1M120 Vs. APEX 20KC Mercury on a 0.15-um Copper Process Is the World s Fastest PLD Performance (MHz) APEX 20KC 46% Performance Advantage 0.0 Quartus II v1.1 B111, Synplify v6.2r83 EP1M120 5 vs. EP20K100C-7/EP20K200C-7200C 7 Design High-Speed Serial Backplanes High-End Systems Exceed PCI Capabilities CDR Enables Multi-Crystal Operation CDR Enables High-Speed Backplanes Standardized Common Protocols & Implementations Backplane Mercury Device Proprietary Differentiation & Value Proposition for System Architects Serial Backplane Connection Multiple Line Cards with Independent Clocks 10

11 s Supported by Altera Standard POS-PHY Level 4 UTOPIA IV RapidIO Hyper- Transport 1G Ethernet Fibre Channel OC-12/SDH-4 SONET CSIX Bandwidth (Gbps) 10G 10G 16G 16G 1.25G 1G 0.622G 32G * Control Signal, ** Overhead Included Number Of Channels * * * Any Any Any 128 Needed I/O Performance (Mbps) ** 1,000 1,000 1,250 1, MHz I/O Standard LVDS LVDS LVDS Hyper- Transport LVDS + CDR LVPECL + CDR LVDS + CDR HSTL Mercury APEX II 11

12 MAX 7000B: I/O Standards Two I/O Blocks Can Be Configured Separately Programmable I/O Blocks GTL+ SSTL-3 Class I & II SSTL-2 Class I & II LVCMOS LVTTL Individual Power Buses,QFUHDVHG,23HUIRUPDQFH Unique Advanced I/O Support I/O Standard GTL+ SSTL-2 Class I & II SSTL-3 Class I & II LVTTL LVCMOS 5.0 V 3.3 V 2.5 V 1.8 V 64-Bit, 66-MHz PCI MAX 7000A (3.3 V) MAX 7000B (2.5 V) 12

13 Applications Processor GTL+ SDRAM SSTL 2/-3 LVTTL 2.5 V MAX 7000B 3.3 V PCI High-Bandwidth Communications GTL/GTL+ SSTL-3 I/O Standard Applications High-Speed Processor High-Speed Backplane Driver 125 MHz High-Speed Memory Interfacing to SDRAMs 150 MHz 13

14 Increasing s Ethernet POS-PHY AMBA OCP Infiniband UTOPIA Core Connect VCI PCI Flexbus Core Frame USB DDR/ QDR IPbus FISPbus Board-to-Board Chip-to-Chip On-Chip Bridging s with Altera Multiple s on Board Need for Altera to Bridge Different s Example: POS-PHY Level 3 to PCI Bridge ASSP: Proprietary ASIC: Different X X Y Y PMC Sierra POS-PHY 3 PCI ASIC with PCI 14

15 Atlantic Atlantic Is an on-chip PLD Packet-Based Full-Duplex, Synchronous Bus Protocol High Performance Simple to Implement Scalable Specification Available Today Supported by AMPP SM Partners POS-PHY PHY Atlantic UTOPIA High-Speed Megafunctions Atlantic POS-PHY Level 2, 3 & 4 Flexbus Level 3 & 4 UTOPIA Level 2 & 3 CSIX-L1 IX-Bus RapidIO HyperTransport 15

16 Looking Forward High-Speed I/O Roadmap 10.0 )XWXUH,,, CDR 10 Gbps Data Rate (Gbps) CDR 1.25 Gbps )XWXUH CDR Gbps )XWXUH,, CDR 6.4 Gbps.( True-LVDS 840 Mbps,, True-LVDS 1 Gbps

17 Proven High-Speed I/O Design Expertise 2.5-Gbps CDR Test Chip Gbps Chip in Joint Development 7HVW &KLS 1H[W *HQHUDWLRQ Mbps 1.25 Gbps 2.5 Gbps Gbps Altera s Complete I/O Solution Altera Enables High-Speed Communication among Multiple Devices Using Multiple Standards ASSP POS-PHY Level 4 UTOPIA IV Flexbus LVDS with CDS Flexible-LVDS HSTL APEX II Mercury PLDs External Memory Quad Data Rate Double Data Rate Zero Bus Turnaround GTL+ SSTL-2 SSTL-3 Product- Term PLDs Processor RapidIO HyperTransport PCI-X LVDS with CDR Mercury 17

18 Summary Altera Offers the Most Complete I/O for Programmable Logic The Technologies Are Unique Mercury Is the Only PLD with CDR Shipping in Volume APEX II Has the Broadest Differential I/O Support MAX 7000B Is I/O Leader for Product-Term Devices We Have the Tools, IP & Tech Support Team to Help Make You Successful 18

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities Stratix High-Density, High-Performance FPGAs Available in Production Quantities February 2004 High-Density, High-Performance FPGAs Altera s award-winning Stratix FPGA family delivers the most comprehensive

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

4. Selectable I/O Standards in Stratix & Stratix GX Devices

4. Selectable I/O Standards in Stratix & Stratix GX Devices 4. Selectable I/O Standards in Stratix & Stratix GX Devices S52004-3.4 Introduction The proliferation of I/O standards and the need for higher I/O performance have made it critical that devices have flexible

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

Emerging Protocols & Applications

Emerging Protocols & Applications Emerging Protocols & Applications Anthony Dalleggio Executive Vice President Modelware, Inc. Contents Historical System Bandwidth Trends The PC Bus SONET, UTOPIA, & POS-PHY Targeted Applications SANs:

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information Stratix Programmable Logic Device Family February 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix family of programmable logic devices (PLDs) is based on a 1.5-V, 0.13-µm, all-layer

More information

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family First Quarter 2002 Newsletter for Altera Customers Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family Next-generation systems demand a dramatic level of

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information Stratix FPGA Family December 2002, ver. 3.0 Data Sheet Introduction Preliminary Information The Stratix TM family of FPGAs is based on a 1.5-V, 0.13-µm, all-layer copper SRAM process, with densities up

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

Stratix GX. Introduction. Features... FPGA Family. Preliminary Information

Stratix GX. Introduction. Features... FPGA Family. Preliminary Information Stratix GX FPGA Family November 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix TM GX family of devices is Altera s second FPGA family to combine high-speed serial transceivers

More information

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1 System on Programmable Chip SoPC for High Performance System Applications TM 2000 Altera Corporation 1 Agenda! Altera SoPC Roadmap Product Roadmap Packaging Roadmap Tools Roadmap IP Roadmap! Quartus II,

More information

5. High-Speed Differential I/O Interfaces in Stratix Devices

5. High-Speed Differential I/O Interfaces in Stratix Devices 5. High-Speed Differential I/O Interfaces in Stratix Devices S52005-3.2 Introduction To achieve high data transfer rates, Stratix devices support True- LVDS TM differential I/O interfaces which have dedicated

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

Using High-Speed Differential I/O Interfaces

Using High-Speed Differential I/O Interfaces Using High-Speed Differential I/O Interfaces in Stratix Devices December 2002, ver. 2.0 Application Note 202 Introduction Preliminary Information To achieve high data transfer rates, Stratix TM devices

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Altera Product Catalog

Altera Product Catalog Altera Product Catalog The Programmable Solutions Company Innovate, differentiate, and win At Altera, we ve been promoting innovation yours and ours ever since we invented the world s first programmable

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

Section I. Stratix II GX Device Data Sheet

Section I. Stratix II GX Device Data Sheet Section I. Stratix II GX Device Data Sheet This section provides designers with the data sheet specifications for Stratix II GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

6. I/O Features for HardCopy IV Devices

6. I/O Features for HardCopy IV Devices 6. I/O Features for HardCopy IV Devices March 2012 HIV51006-2.3 HIV51006-2.3 This chapter describes the I/O standards, features, termination schemes, and performance supported in HardCopy IV devices. All

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards

White Paper. ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards White Paper ORSPI4 Field-Programmable System-on-a-Chip Solves Design Challenges for 10 Gbps Line Cards Sidhartha Mohanty and Fred Koons Lattice Semiconductor Corporation October 2003 Bringing the Best

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Using High-Speed I/O Standards in APEX II Devices

Using High-Speed I/O Standards in APEX II Devices Using High-Speed I/O Standards in APEX II Devices August 2002, ver. 1.7 Application Note 166 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

Excalibur Device Overview

Excalibur Device Overview May 2002, ver. 2.0 Data Sheet Features... Combination of a world-class RISC processor system with industryleading programmable logic on a single device Industry-standard ARM922T 32-bit RISC processor core

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Section II. HardCopy APEX Device Family Data Sheet

Section II. HardCopy APEX Device Family Data Sheet Section II. HardCopy APEX Device Family Data Sheet This section provides designers with the data sheet specifications for HardCopy APEX TM devices. These chapters contain feature definitions of the internal

More information

Newsletter for Altera Customers. Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs. 70% Reduction in Die Size

Newsletter for Altera Customers. Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs. 70% Reduction in Die Size & News Views Fourth Quarter 2001 Newsletter for Altera Customers Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs The transition from a high-density programmable logic

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. Section I. Stratix II Device Family Data Sheet This section provides the

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

ORCA ORSPI4 Dual SPI4 Interface and High-Speed SERDES FPSC

ORCA ORSPI4 Dual SPI4 Interface and High-Speed SERDES FPSC ORCA ORSPI4 Dual SPI4 Interface and High-Speed SERDES FPSC May 2009 Data Sheet Lattice Semiconductor has developed a next-generation FPSC targeted at high-speed data transmission. Built on the Series 4

More information

Section I. Arria GX Device Data Sheet

Section I. Arria GX Device Data Sheet Section I. Arria GX Device Data Sheet This section provides designers with the data sheet specifications for Arria GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

1. Stratix IV Device Family Overview

1. Stratix IV Device Family Overview 1. Stratix IV Device Family Overview SIV51001-3.0 Altera Stratix IV FPGAs deliver a breakthrough level of system bandwidth and power efficiency for high-end applications, allowing you to innovate without

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

February 2002, ver. 4.3

February 2002, ver. 4.3 APEX 20K Programmable Logic Device Family February 2002, ver. 4.3 Data Sheet Features... Industry s first programmable logic device (PLD) incorporating system-on-a-programmable-chip (SOPC) integration

More information

Using I/O Standards in the Quartus Software

Using I/O Standards in the Quartus Software White Paper Using I/O Standards in the Quartus Software This document shows how to implement and view the selectable I/O standards for APEX TM 20KE devices in the Quartus TM software and give placement

More information

Quartus II Software Device Support Release Notes

Quartus II Software Device Support Release Notes Quartus II Software Device Support Release Notes May 2009 RN-01045-1.0 This document provides late-breaking information about device support in this version of the Altera Quartus II software. For information

More information

Section II. I/O Interfaces

Section II. I/O Interfaces Section II. I/O Interfaces January 2011 Revision History This section includes the following chapters: Chapter 6, I/O Features for HardCopy IV Devices Chapter 7,

More information

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices

SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices SGMII Interface Implementation Using Soft-CDR Mode of Stratix III Devices May 2008, version 1.0 Application Note 518 Introduction Stratix III device family are one of the most architecturally advanced,

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 I/O

More information

ORCA ORLI10G Quad 2.5Gbps, 10Gbps Quad 3.125Gbps, 12.5Gbps Line Interface FPSC

ORCA ORLI10G Quad 2.5Gbps, 10Gbps Quad 3.125Gbps, 12.5Gbps Line Interface FPSC January 25 Introduction ORCA ORLI1G Quad 2.5Gbps, 1Gbps Quad 3.125Gbps, 12.5Gbps Line Interface FPSC Data Sheet The Lattice ORCA Series 4-based ORLI1G FPSC combines a high-speed line interface with a flexible

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix 10 I/O

More information

Xilinx Solutions for PCI Express

Xilinx Solutions for PCI Express Xilinx Solutions for PCI Express Agenda PCI Express Overview Why PCI Express? Key Requirements PCI Express Layered Architecture Physical Data Link Transaction Software Mechanical PCI Express Application

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

1. Overview for the Arria II Device Family

1. Overview for the Arria II Device Family 1. Overview for the Arria II Device Family July 2012 AIIGX51001-4.4 AIIGX51001-4.4 The Arria II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

DATA SHEET AGM AG16K FPGA. Low Cost and High Performance FPGA. Revision: 1.0. Page 1 of 17

DATA SHEET AGM AG16K FPGA. Low Cost and High Performance FPGA. Revision: 1.0. Page 1 of 17 DATA SHEET Revision: 1.0 AGM AG16K FPGA Low Cost and High Performance FPGA Page 1 of 17 General Description AGM AG16K FPGA devices are targeted to high-volume, cost-sensitive, applications, enabling system

More information

3. Mapping Stratix III Device Resources to HardCopy III Devices

3. Mapping Stratix III Device Resources to HardCopy III Devices 3. Mapping Resources to s HIII52003-2.0 Introduction This chapter discusses the available options for mapping from a Stratix III device to a HardCopy III device. ASICs have companion device support in

More information

Selecting the Correct High Speed Transceiver Solution

Selecting the Correct High Speed Transceiver Solution Introduction White Paper Selecting the Correct High Speed Transceiver Solution Many standards and protocols are now using high speed transceivers (SERDES) as part of their physical interface. The protocols

More information

SPI-4.2 Interoperability with. in Stratix GX Devices. Introduction. PMC-Sierra XENON Family

SPI-4.2 Interoperability with. in Stratix GX Devices. Introduction. PMC-Sierra XENON Family SPI-4.2 Interoperability with PMC-Sierra XENON Family in Stratix GX Devices May 2003, ver. 1.0 Application Note 228 Introduction The system packet interface level 4 phase 2 (SPI-4.2) specification, defined

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

HardCopy. Introduction. Features... Devices for APEX 20K Conversion. Preliminary Information

HardCopy. Introduction. Features... Devices for APEX 20K Conversion. Preliminary Information HardCopy Devices for APEX 20K Conversion September 2001, ver. 1.0 Data Sheet Introduction HardCopy TM devices enable high-density APEX TM 20K device technology to be used in high-volume applications where

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved

Basic FPGA Architecture Xilinx, Inc. All Rights Reserved Basic FPGA Architecture 2005 Xilinx, Inc. All Rights Reserved Objectives After completing this module, you will be able to: Identify the basic architectural resources of the Virtex -II FPGA List the differences

More information

Introduction to PCI Express Positioning Information

Introduction to PCI Express Positioning Information Introduction to PCI Express Positioning Information Main PCI Express is the latest development in PCI to support adapters and devices. The technology is aimed at multiple market segments, meaning that

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration

Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices. Introduction. DDR I/O Elements. Input Configuration Implementing Double Data Rate I/O Signaling in Stratix & Stratix GX Devices November 2002, ver. 2.0 Application Note 212 Introduction Typical I/O architectures transmit a single data word on each positive

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

Section I. Device Core for Arria II Devices

Section I. Device Core for Arria II Devices Section I. Device Core for Arria II Devices This section provides a complete overview of all features relating to the Arria II device family, the industry s first cost-optimized 40 nm FPGA family. This

More information

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info.

Intelop. *As new IP blocks become available, please contact the factory for the latest updated info. A FPGA based development platform as part of an EDK is available to target intelop provided IPs or other standard IPs. The platform with Virtex-4 FX12 Evaluation Kit provides a complete hardware environment

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

High Performance DDR4 interfaces with FPGA Flexibility. Adrian Cosoroaba and Terry Magee Xilinx, Inc.

High Performance DDR4 interfaces with FPGA Flexibility. Adrian Cosoroaba and Terry Magee Xilinx, Inc. High Performance DDR4 interfaces with FPGA Flexibility Adrian Cosoroaba and Terry Magee Xilinx, Inc AGENDA System Requirements for FPGA based systems Higher Bandwidth, Increased Flexibility, Lower Power

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

6. I/O Features in Arria II Devices

6. I/O Features in Arria II Devices 6. I/O Features in Arria II Devices December 2011 AIIGX51006-4.2 AIIGX51006-4.2 This chapter describes how Arria II devices provide I/O capabilities that allow you to work in compliance with current and

More information

Lecture 11: Speed & Communications

Lecture 11: Speed & Communications Lecture 11: Speed & Communications David Black-Schaffer davidbbs@stanford.edu EE183 Spring 2003 Pipelining for Speed Overview Do a little bit of work in each stage (fast) Use the pipe registers to break

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

ORCA ORT82G Gbits/s 8b/10b Backplane Interface FPSC

ORCA ORT82G Gbits/s 8b/10b Backplane Interface FPSC ORCA ORT82G5 0.6-3.7 Gbits/s 8b/10b Backplane Interface FPSC February 2003 Data Sheet Introduction has developed a next generation FPSC intended for high-speed serial backplane data transmission. Built

More information

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System

In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System In-chip and Inter-chip Interconnections and data transportations for Future MPAR Digital Receiving System A presentation for LMCO-MPAR project 2007 briefing Dr. Yan Zhang School of Electrical and Computer

More information

Cyclone II Device Handbook, Volume 1

Cyclone II Device Handbook, Volume 1 Cyclone II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com CII5V1-3.1 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004

10 Gigabit Ethernet 10GBase-R PCS Core. 1 Introduction. Product Brief Version August 2004 1 Introduction Initially, 10 Gigabit Ethernet (10 GbE) is used by network managers to provide high-speed, local backbone interconnection between large-capacity switches, as it enables Internet Service

More information

InfiniBand SDR, DDR, and QDR Technology Guide

InfiniBand SDR, DDR, and QDR Technology Guide White Paper InfiniBand SDR, DDR, and QDR Technology Guide The InfiniBand standard supports single, double, and quadruple data rate that enables an InfiniBand link to transmit more data. This paper discusses

More information

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA

SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA SERIAL MULTI-PROTOCOL TRANSMISSION WITH THE LatticeSC FPGA February 2006 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com 1 Serial

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011 DS1005 Version 02.0, June 2011 Table of Contents June 2011 Introduction to flexipcs... 1-1 flexipcs Features... 1-1 flexipcs Introduction... 1-2 Architecture Overview... 1-2 flexipcs Quad... 1-2 flexipcs

More information

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

DATA SHEET. Low Cost and High Performance FPGA. Revision: 1.1. Release date: Page 1 of 18

DATA SHEET. Low Cost and High Performance FPGA. Revision: 1.1. Release date: Page 1 of 18 DATA SHEET Revision: 1.1 Release date: AGM FPGA Low Cost and High Performance FPGA Page 1 of 18 General Description AGM FPGA devices are targeted to high-volume, cost-sensitive, applications, enabling

More information