Resource Sharing and Partitioning in Multicore

Size: px
Start display at page:

Download "Resource Sharing and Partitioning in Multicore"

Transcription

1 Resource Sharing and Partitioning in Multicore Francisco J. Cazorla Mixed Criticality/Reliability Workshop HiPEAC CSW Barcelona May 2014

2 Transition to Multicore and Manycores Wanted or imposed transition? Deal with the multicore paradox (well, one of them ) Want: benefits of sharing to improve average performance Don t want: problems brought by sharing to provide time bounds Opportunity: Integrating multiple applications onto the same hardware platform advantages in performance, production costs, and reliability Threat: Software performance becomes unpredictable and hard to analyzable Challenge: Prove that all temporal constraints will be satisfied during operation in a critical system 2

3 Hardware Resource Sharing (HRS): The problem Contention in the access to shared resources affects the execution time, and WCET estimates, of corunning tasks Varies across resource types: Stateless: bus Statefull: cache 3

4 Time composability shapes how HRS is handled Composability: Attained whenever a property can be determined for each element of a system isolation, and that property does not change when multiple items are brought together. Time composability The timing behavior of a software component observed, by analysis or measurement, in isolation, is not affected by the presence of other software components. Benefits in achieving incremental verification 4

5 Time composability shapes how HRS is handled TC Execution Times The execution time of a software component observed in isolation is not affected by the presence of other components TC WCET estimates The WCET bound derived for a software component in isolation is not affected by the presence of other components Normalized Execution Time of A 2,5 2 1,5 1 0,5 0 Task A Task A, B Task A, C Task A, B, C WCET Workloads 5

6 Contention in Hardware Shared Resources (HSR) Access time to HSR: Isolation: multicore: and are history dependent Depend on previously executed and active requests Vary from request to request Stateless resources (Bus): arbitration policy Statefull resources (Cache): bank conflicts Content conflict Approach: Derive bounds to and Solutions provide different design points in the tightness - complexity in the analysis time composability space 6

7 Multiple criticalities (dual) and : Non real-time tasks real-time tasks Real-time tasks real-time tasks Non real-time tasks Transparent execution: real-time tasks Hardware designs preventing non real-time tasks from affecting real-time tasks Split long-latency operations into small interruptible micro-operations Real-time tasks real-time tasks 7

8 Stateless resources: Time-dependent bounds Time sharing of the resource The load that the of contenders put on the resource does not affect a given task WCET estimate TDMA TDMA window size = N contenders * SlotSize Non work conserving Bounds to can be effectively computed Introduce complexities in the timing analysis tool depends on the particular relative cycle in which a request is ready Tighter results when the exact access cycle(s) for each request are known 8

9 Stateless resources: History-independent bounds Bounds are valid for any history of execution Round Robin arbitration 1 Bounds to can be effectively computed Introduce no complexities in the timing analysis tool What about pessimism? Low access frequency to the bus Low impact of on exec. time High frequency of access to the bus is not overly pessimistic if the load on the bus is high is pessimistic when the other tasks have low bus usage I assume that I am the last in the round-robin arbitration but other tasks access very seldom the bus Use prioritized round-robin (grouping) 9

10 Illustrative example Requestors split into g groups Each group g i contain n i tasks RR: Across tasks in each group Across groups Each task in a group has (g i x n i ) -1 contenders t 1 t 3 t 4 t 5 t 2 t 6 t 7 t 8 Groups/Tasks Delta (1,1,1,1,1,1,1) 7 (1,1,1,5) 3 19 (1,2,5)

11 Statefull resources: Combined Analysis & partitioning Mainly for shared last-level caches (LLC) Arb bank conflict Acc hard to track! History dependence is long! Combined analysis: Extending STA to determine which accesses hit/miss in cache Shift in the execution invalidates the analyses. Breaks time composability Cache partitioning Software: coloring (set partitioning) Hardware: Columnization (way partitioning) or Bankization (set partitioning) 11

12 Probabilistic Timing Analysis Replacing deterministic approaches with probabilistic ones Get rid of the need (and cost) of the detail design knowledge required to causally model the timing behavior of all system resources o. Principle: Make resource latency can be accurately captured with a probabilistic law 12

13 Probabilistic shared cache Given two tasks accessing a shared cache the features that shape their interference are: Time deterministic cache: Memory mapping of tasks as it determines the sets accessed Access frequencies of each tasks affects LRU state Relative order of accesses affect LRU state Time Randomised cache: Memory mapping of tasks Miss frequencies of tasks (Hits do not affect cache state) Relative order of accesses 13

14 Probabilistic cache Idea 1 : In Time Randomised caches limiting how often a task can evict lines from LLC is enough to derive trustworthy and tight WCET estimate Controlling LLC miss frequency No need to physically partition the cache Cache as a capacity Resource 1 Mladen Slijepcevic, Leonidas Kosmidis, Jaume Abella, Eduardo Quinones, Francisco J. Cazorla Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore Systems In Design Automation Conference (DAC) San Francisco, CA. June,

15 Probabilistic cache Idea 1 : In Time Randomised caches limiting how often a task can evict lines from LLC is enough to derive trustworthy and tight WCET estimate Controlling LLC miss frequency No need to physically partition the cache Cache as a capacity Resource 1 Mladen Slijepcevic, Leonidas Kosmidis, Jaume Abella, Eduardo Quinones, Francisco J. Cazorla Time-Analysable Non-Partitioned Shared Caches for Real-Time Multicore Systems In Design Automation Conference (DAC) San Francisco, CA. June,

16 On-Chip Resources vs Off-Chip Resources On-chip resources: Less visible and malleable from the SW High access frequency (nano seconds) Contention captured in the WCET analysis Access bounds Combined Analysis Off-chip resources: Consider no contention (or bounded) in on-chip resources Capture the impact of contention compositionally WCRT = WCET isol + offchip-contention 16

17 Challenges Manycores Abstracting internal HSR operation vs. exposing it Abstracting: History-independent access-latency bounds Simplify analysis but introduce pessimism Exposing internal operation Timing Analysis tool can benefit from execution history Tracking execution history may easily result in a state explosion Multithreaded applications Identify parallelization paradigms and how they impact WCET Parallel-application aware WCET analysis WCET-aware and parallel-application aware architecture 17

18 Resource Sharing and Partitioning in Multicore Francisco J. Cazorla Mixed Criticality/Reliability Workshop HiPEAC CSW Barcelona May 2014

CONTENTION IN MULTICORE HARDWARE SHARED RESOURCES: UNDERSTANDING OF THE STATE OF THE ART

CONTENTION IN MULTICORE HARDWARE SHARED RESOURCES: UNDERSTANDING OF THE STATE OF THE ART CONTENTION IN MULTICORE HARDWARE SHARED RESOURCES: UNDERSTANDING OF THE STATE OF THE ART Gabriel Fernandez 1, Jaume Abella 2, Eduardo Quiñones 2, Christine Rochange 3, Tullio Vardanega 4 and Francisco

More information

MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding

MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding www.bsc.es MC2: Multicore and Cache Analysis via Deterministic and Probabilistic Jitter Bounding Enrique Díaz¹,², Mikel Fernández¹, Leonidas Kosmidis¹, Enrico Mezzetti¹, Carles Hernandez¹, Jaume Abella¹,

More information

A Dual-Criticality Memory Controller (DCmc): Proposal and Evaluation of a Space Case Study

A Dual-Criticality Memory Controller (DCmc): Proposal and Evaluation of a Space Case Study A Dual-Criticality Memory Controller (DCmc): Proposal and Evaluation of a Space Case Study Javier Jalle,, Eduardo Quiñones, Jaume Abella, Luca Fossati, Marco Zulianello, Francisco J. Cazorla, Barcelona

More information

Fig. 1. AMBA AHB main components: Masters, slaves, arbiter and decoder. (Picture from AMBA Specification Rev 2.0)

Fig. 1. AMBA AHB main components: Masters, slaves, arbiter and decoder. (Picture from AMBA Specification Rev 2.0) AHRB: A High-Performance Time-Composable AMBA AHB Bus Javier Jalle,, Jaume Abella, Eduardo Quiñones, Luca Fossati, Marco Zulianello, Francisco J. Cazorla, Barcelona Supercomputing Center, Spain Universitat

More information

NoCo: ILP-based Worst-Case Contention Estimation for Mesh Real-Time Manycores

NoCo: ILP-based Worst-Case Contention Estimation for Mesh Real-Time Manycores www.bsc.es NoCo: ILP-based Worst-Case Contention Estimation for Mesh Real-Time Manycores Jordi Cardona 1,2, Carles Hernandez 1, Enrico Mezzetti 1, Jaume Abella 1 and Francisco J.Cazorla 1,3 1 Barcelona

More information

Hardware Support for WCET Analysis of Hard Real-Time Multicore Systems

Hardware Support for WCET Analysis of Hard Real-Time Multicore Systems Hardware Support for WCET Analysis of Hard Real-Time Multicore Systems Marco Paolieri Barcelona Supercomputing Center (BSC) Barcelona, Spain marco.paolieri@bsc.es Eduardo Quiñones Barcelona Supercomputing

More information

Proposal of PMCs for tracking worst-case behaviour in multicores: the case of the NGMP

Proposal of PMCs for tracking worst-case behaviour in multicores: the case of the NGMP Proposal of PMCs for tracking worst-case behaviour in multicores: the case of the NGMP Document Information Author Contributors Reviewer Keywords Francisco J. Cazorla, Mikel Fenandez, Javier Jalle Luca

More information

Barcelona Supercomputing Center. Spanish National Research Council (IIIA-CSIC)

Barcelona Supercomputing Center. Spanish National Research Council (IIIA-CSIC) Bus Designs for Time-Probabilistic Multicore Processors Javier Jalle,, Leonidas Kosmidis,, Jaume Abella, Eduardo Quiñones, Francisco J. Cazorla, Universitat Politècnica de Catalunya Barcelona Supercomputing

More information

Timing analysis and timing predictability

Timing analysis and timing predictability Timing analysis and timing predictability Architectural Dependences Reinhard Wilhelm Saarland University, Saarbrücken, Germany ArtistDesign Summer School in China 2010 What does the execution time depends

More information

ECE 30 Introduction to Computer Engineering

ECE 30 Introduction to Computer Engineering ECE 0 Introduction to Computer Engineering Study Problems, Set #9 Spring 01 1. Given the following series of address references given as word addresses:,,, 1, 1, 1,, 8, 19,,,,, 7,, and. Assuming a direct-mapped

More information

IA 3 : An Interference Aware Allocation Algorithm for Multicore Hard Real-Time Systems

IA 3 : An Interference Aware Allocation Algorithm for Multicore Hard Real-Time Systems IA 3 : An Interference Aware Allocation Algorithm for Multicore Hard Real-Time Systems Marco Paolieri Barcelona Supercomputing Center (BSC) Barcelona, Spain e-mail: marco.paolieri@bsc.es Eduardo Quiñones

More information

Smarter and safer in an increasingly complex world European Mixed-Criticality Cluster

Smarter and safer in an increasingly complex world European Mixed-Criticality Cluster Smarter and safer in an increasingly complex world European Mixed-Criticality Cluster Roman Obermaisser (Univ. Siegen) Kim Grüttner (OFFIS) Francisco J. Cazorla (BSC) Arjan Geven (TTTech) Motivation Modern

More information

Achieving Timing Composability with Measurement-Based Probabilistic Timing Analysis

Achieving Timing Composability with Measurement-Based Probabilistic Timing Analysis Achieving Timing Composability with Measurement-Based Probabilistic Timing Analysis Leonidas Kosmidis,, Eduardo Quiñones, Jaume Abella, Tullio Vardanega, Francisco J. Cazorla, Universitat Politècnica de

More information

Managing Memory for Timing Predictability. Rodolfo Pellizzoni

Managing Memory for Timing Predictability. Rodolfo Pellizzoni Managing Memory for Timing Predictability Rodolfo Pellizzoni Thanks This work would not have been possible without the following students and collaborators Zheng Pei Wu*, Yogen Krish Heechul Yun* Renato

More information

Enabling TDMA Arbitration in the Context of MBPTA

Enabling TDMA Arbitration in the Context of MBPTA Enabling TDMA Arbitration in the Context of MBPTA Miloš Panić,, Jaume Abella, Eduardo Quiñones, Carles Hernandez, Theo Ungerer, Francisco J. Cazorla, Universitat Politècnica de Catalunya Barcelona Supercomputing

More information

Ensuring Schedulability of Spacecraft Flight Software

Ensuring Schedulability of Spacecraft Flight Software Ensuring Schedulability of Spacecraft Flight Software Flight Software Workshop 7-9 November 2012 Marek Prochazka & Jorge Lopez Trescastro European Space Agency OUTLINE Introduction Current approach to

More information

Measurement-Based Timing Analysis of the AURIX Caches

Measurement-Based Timing Analysis of the AURIX Caches Measurement-Based Timing Analysis of the AURIX Caches Leonidas Kosmidis 1,3, Davide Compagnin 2, David Morales 3, Enrico Mezzetti 3, Eduardo Quinones 3, Jaume Abella 3, Tullio Vardanega 2, and Francisco

More information

Replacement policies for shared caches on symmetric multicores : a programmer-centric point of view

Replacement policies for shared caches on symmetric multicores : a programmer-centric point of view 1 Replacement policies for shared caches on symmetric multicores : a programmer-centric point of view Pierre Michaud INRIA HiPEAC 11, January 26, 2011 2 Outline Self-performance contract Proposition for

More information

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip

STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip STLAC: A Spatial and Temporal Locality-Aware Cache and Networkon-Chip Codesign for Tiled Manycore Systems Mingyu Wang and Zhaolin Li Institute of Microelectronics, Tsinghua University, Beijing 100084,

More information

DTM: Degraded Test Mode for Fault-Aware Probabilistic Timing Analysis

DTM: Degraded Test Mode for Fault-Aware Probabilistic Timing Analysis DTM: Degraded Test Mode for Fault-Aware Probabilistic Timing Analysis Mladen Slijepcevic,, Leonidas Kosmidis,, Jaume Abella, Eduardo Quiñones, Francisco J. Cazorla, Universitat Politècnica de Catalunya

More information

Challenges of FSW Schedulability on Multicore Processors

Challenges of FSW Schedulability on Multicore Processors Challenges of FSW Schedulability on Multicore Processors Flight Software Workshop 27-29 October 2015 Marek Prochazka European Space Agency MULTICORES: WHAT DOES FLIGHT SOFTWARE ENGINEER NEED? Space-qualified

More information

Worst Case Analysis of DRAM Latency in Multi-Requestor Systems. Zheng Pei Wu Yogen Krish Rodolfo Pellizzoni

Worst Case Analysis of DRAM Latency in Multi-Requestor Systems. Zheng Pei Wu Yogen Krish Rodolfo Pellizzoni orst Case Analysis of DAM Latency in Multi-equestor Systems Zheng Pei u Yogen Krish odolfo Pellizzoni Multi-equestor Systems CPU CPU CPU Inter-connect DAM DMA I/O 1/26 Multi-equestor Systems CPU CPU CPU

More information

Variability Windows for Predictable DDR Controllers, A Technical Report

Variability Windows for Predictable DDR Controllers, A Technical Report Variability Windows for Predictable DDR Controllers, A Technical Report MOHAMED HASSAN 1 INTRODUCTION In this technical report, we detail the derivation of the variability window for the eight predictable

More information

Seeking Time-Composable Partitions of Tasks for COTS Multicore Processors

Seeking Time-Composable Partitions of Tasks for COTS Multicore Processors Seeking Time-Composable Partitions of Tasks for COTS Multicore Processors Gabriel Fernandez, Jaume Abella, Eduardo Quiñones, Luca Fossati, Marco Zulianello, Tullio Vardanega, Francisco J. Cazorla Universitat

More information

SOFTWARE-DEFINED MEMORY HIERARCHIES: SCALABILITY AND QOS IN THOUSAND-CORE SYSTEMS

SOFTWARE-DEFINED MEMORY HIERARCHIES: SCALABILITY AND QOS IN THOUSAND-CORE SYSTEMS SOFTWARE-DEFINED MEMORY HIERARCHIES: SCALABILITY AND QOS IN THOUSAND-CORE SYSTEMS DANIEL SANCHEZ MIT CSAIL IAP MEETING MAY 21, 2013 Research Agenda Lack of technology progress Moore s Law still alive Power

More information

Design and Analysis of Real-Time Systems Predictability and Predictable Microarchitectures

Design and Analysis of Real-Time Systems Predictability and Predictable Microarchitectures Design and Analysis of Real-Time Systems Predictability and Predictable Microarcectures Jan Reineke Advanced Lecture, Summer 2013 Notion of Predictability Oxford Dictionary: predictable = adjective, able

More information

A Cache Design for Probabilistically Analysable Real-time Systems

A Cache Design for Probabilistically Analysable Real-time Systems A Cache Design for Probabilistically Analysable Real-time Systems Leonidas Kosmidis,, Jaume Abella, Eduardo Quiñones, Francisco J. Cazorla, Universitat Politècnica de Catalunya Barcelona Supercomputing

More information

Timing Analysis on Complex Real-Time Automotive Multicore Architectures

Timing Analysis on Complex Real-Time Automotive Multicore Architectures 2 nd Workshop on Mapping Applications to MPSoCs St. Goar, June 2009 Timing Analysis on Complex Real-Time Automotive Multicore Architectures Mircea Negrean Simon Schliecker Rolf Ernst Technische Universität

More information

D 8.4 Workshop Report

D 8.4 Workshop Report Project Number 288008 D 8.4 Workshop Report Version 2.0 30 July 2014 Final Public Distribution Denmark Technical University, Eindhoven University of Technology, Technical University of Vienna, The Open

More information

Caches in Real-Time Systems. Instruction Cache vs. Data Cache

Caches in Real-Time Systems. Instruction Cache vs. Data Cache Caches in Real-Time Systems [Xavier Vera, Bjorn Lisper, Jingling Xue, Data Caches in Multitasking Hard Real- Time Systems, RTSS 2003.] Schedulability Analysis WCET Simple Platforms WCMP (memory performance)

More information

ECE/CS 757: Homework 1

ECE/CS 757: Homework 1 ECE/CS 757: Homework 1 Cores and Multithreading 1. A CPU designer has to decide whether or not to add a new micoarchitecture enhancement to improve performance (ignoring power costs) of a block (coarse-grain)

More information

Deterministic Memory Abstraction and Supporting Multicore System Architecture

Deterministic Memory Abstraction and Supporting Multicore System Architecture Deterministic Memory Abstraction and Supporting Multicore System Architecture Farzad Farshchi $, Prathap Kumar Valsan^, Renato Mancuso *, Heechul Yun $ $ University of Kansas, ^ Intel, * Boston University

More information

Media Access Control. Networked Systems (H) Lecture 5

Media Access Control. Networked Systems (H) Lecture 5 Media Access Control Networked Systems (H) Lecture 5 Lecture Outline Controlling access to the channel Link contention Media access control protocols Contention-based protocols CSMA/CD Token ring Slotted

More information

Measurement-Based Probabilistic Timing Analysis and Its Impact on Processor Architecture

Measurement-Based Probabilistic Timing Analysis and Its Impact on Processor Architecture Measurement-Based Probabilistic Timing Analysis and Its Impact on Processor Architecture Leonidas Kosmidis, Eduardo Quiñones,, Jaume Abella, Tullio Vardanega, Ian Broster, Francisco J. Cazorla Universitat

More information

Modeling Shared Cache and Bus in Multi-cores for Timing Analysis

Modeling Shared Cache and Bus in Multi-cores for Timing Analysis Modeling Shared Cache and Bus in Multi-cores for Timing Analysis Sudipta Chattopadhyay Abhik Roychoudhury Tulika Mitra National University of Singapore {sudiptac,abhik,tulika}@comp.nus.edu.sg ABSTRACT

More information

Design and Analysis of Time-Critical Systems Timing Predictability and Analyzability + Case Studies: PTARM and Kalray MPPA-256

Design and Analysis of Time-Critical Systems Timing Predictability and Analyzability + Case Studies: PTARM and Kalray MPPA-256 Design and Analysis of Time-Critical Systems Timing Predictability and Analyzability + Case Studies: PTARM and Kalray MPPA-256 Jan Reineke @ saarland university computer science ACACES Summer School 2017

More information

Computing Safe Contention Bounds for Multicore Resources with Round-Robin and FIFO Arbitration

Computing Safe Contention Bounds for Multicore Resources with Round-Robin and FIFO Arbitration Computing Safe Contention Bounds for Multicore Resources with Round-Robin and FIFO Arbitration 1 Gabriel Fernandez,, Javier Jalle,, Jaume Abella, Eduardo Quiñones, Tullio Vardanega, Francisco J. Cazorla,

More information

Administrivia. Mini project is graded. 1 st place: Justin (75.45) 2 nd place: Liia (74.67) 3 rd place: Michael (74.49)

Administrivia. Mini project is graded. 1 st place: Justin (75.45) 2 nd place: Liia (74.67) 3 rd place: Michael (74.49) Administrivia Mini project is graded 1 st place: Justin (75.45) 2 nd place: Liia (74.67) 3 rd place: Michael (74.49) 1 Administrivia Project proposal due: 2/27 Original research Related to real-time embedded

More information

-- the Timing Problem & Possible Solutions

-- the Timing Problem & Possible Solutions ARTIST Summer School in Europe 2010 Autrans (near Grenoble), France September 5-10, 2010 Towards Real-Time Applications on Multicore -- the Timing Problem & Possible Solutions Wang Yi Uppsala University,

More information

MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS

MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS MEMORY/RESOURCE MANAGEMENT IN MULTICORE SYSTEMS INSTRUCTOR: Dr. MUHAMMAD SHAABAN PRESENTED BY: MOHIT SATHAWANE AKSHAY YEMBARWAR WHAT IS MULTICORE SYSTEMS? Multi-core processor architecture means placing

More information

Caches in Real-Time Systems. Instruction Cache vs. Data Cache

Caches in Real-Time Systems. Instruction Cache vs. Data Cache Caches in Real-Time Systems [Xavier Vera, Bjorn Lisper, Jingling Xue, Data Caches in Multitasking Hard Real- Time Systems, RTSS 2003.] Schedulability Analysis WCET Simple Platforms WCMP (memory performance)

More information

A Timing Effects of DDR Memory Systems in Hard Real-Time Multicore Architectures: Issues and Solutions

A Timing Effects of DDR Memory Systems in Hard Real-Time Multicore Architectures: Issues and Solutions A Timing Effects of DDR Memory Systems in Hard Real-Time Multicore Architectures: Issues and Solutions MARCO PAOLIERI, Barcelona Supercomputing Center (BSC) EDUARDO QUIÑONES, Barcelona Supercomputing Center

More information

15-740/ Computer Architecture Lecture 12: Advanced Caching. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 12: Advanced Caching. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 12: Advanced Caching Prof. Onur Mutlu Carnegie Mellon University Announcements Chuck Thacker (Microsoft Research) Seminar Tomorrow RARE: Rethinking Architectural

More information

Impact of Resource Sharing on Performance and Performance Prediction: A Survey

Impact of Resource Sharing on Performance and Performance Prediction: A Survey Impact of Resource Sharing on Performance and Performance Prediction: A Survey Andreas Abel, Florian Benz, Johannes Doerfert, Barbara Dörr, Sebastian Hahn, Florian Haupenthal, Michael Jacobs, Amir H. Moin,

More information

Timing Effects of DDR Memory Systems in Hard Real-Time Multicore Architectures: Issues and Solutions

Timing Effects of DDR Memory Systems in Hard Real-Time Multicore Architectures: Issues and Solutions Timing Effects of DDR Memory Systems in Hard Real-Time Multicore Architectures: Issues and Solutions MARCO PAOLIERI and EDUARDO QUIÑONES, Barcelona Supercomputing Center (BSC) FRANCISCO J. CAZORLA, Spanish

More information

Lecture 16: Cache in Context (Uniprocessor) James C. Hoe Department of ECE Carnegie Mellon University

Lecture 16: Cache in Context (Uniprocessor) James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 16: Cache in Context (Uniprocessor) James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L16 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Your goal today Housekeeping understand

More information

Cache memory. Lecture 4. Principles, structure, mapping

Cache memory. Lecture 4. Principles, structure, mapping Cache memory Lecture 4 Principles, structure, mapping Computer memory overview Computer memory overview By analyzing memory hierarchy from top to bottom, the following conclusions can be done: a. Cost

More information

TDT 4260 lecture 3 spring semester 2015

TDT 4260 lecture 3 spring semester 2015 1 TDT 4260 lecture 3 spring semester 2015 Lasse Natvig, The CARD group Dept. of computer & information science NTNU http://research.idi.ntnu.no/multicore 2 Lecture overview Repetition Chap.1: Performance,

More information

Atacama: An Open Experimental Platform for Mixed-Criticality Networking on Top of Ethernet

Atacama: An Open Experimental Platform for Mixed-Criticality Networking on Top of Ethernet Atacama: An Open Experimental Platform for Mixed-Criticality Networking on Top of Ethernet Gonzalo Carvajal 1,2 and Sebastian Fischmeister 1 1 University of Waterloo, ON, Canada 2 Universidad de Concepcion,

More information

A Survey of Timing Verification Techniques for Multi-Core Real-Time Systems

A Survey of Timing Verification Techniques for Multi-Core Real-Time Systems A Survey of Timing Verification Techniques for Multi-Core Real-Time Systems Claire Maiza, Hamza Rihani, Juan M. Rivas, Joël Goossens, Sebastian Altmeyer, Robert I. Davis Verimag Research Report n o TR-2018-9

More information

Designing Predictable Real-Time and Embedded Systems

Designing Predictable Real-Time and Embedded Systems Designing Predictable Real-Time and Embedded Systems Juniorprofessor Dr. Jian-Jia Chen Karlsruhe Institute of Technology (KIT), Germany 0 KIT Feb. University 27-29, 2012 of at thetu-berlin, State of Baden-Wuerttemberg

More information

1. Introduction. 1 Multi-Core Execution of Hard Real-Time Applications Supporting Analysability. This research is partially funded by the

1. Introduction. 1 Multi-Core Execution of Hard Real-Time Applications Supporting Analysability. This research is partially funded by the WCET ANALYSIS OF A PARALLEL 3D MULTIGRID SOLVER EXECUTED ON THE MERASA MULTI-CORE 1 Christine Rochange 2, Armelle Bonenfant 2, Pascal Sainrat 2, Mike Gerdes 3, Julian Wolf 3, Theo Ungerer 3, Zlatko Petrov

More information

Memory Efficient Scheduling for Multicore Real-time Systems

Memory Efficient Scheduling for Multicore Real-time Systems Memory Efficient Scheduling for Multicore Real-time Systems by Ahmed Alhammad A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of Doctor of Philosophy

More information

EXAM 1 SOLUTIONS. Midterm Exam. ECE 741 Advanced Computer Architecture, Spring Instructor: Onur Mutlu

EXAM 1 SOLUTIONS. Midterm Exam. ECE 741 Advanced Computer Architecture, Spring Instructor: Onur Mutlu Midterm Exam ECE 741 Advanced Computer Architecture, Spring 2009 Instructor: Onur Mutlu TAs: Michael Papamichael, Theodoros Strigkos, Evangelos Vlachos February 25, 2009 EXAM 1 SOLUTIONS Problem Points

More information

Operating System Contribution to Composable Timing Behaviour in High-Integrity Real-Time Systems

Operating System Contribution to Composable Timing Behaviour in High-Integrity Real-Time Systems Alma Mater Studiorum Università di Bologna Dottorato di Ricerca in Informatica Ciclo XXVI Settore Concorsuale di afferenza: 01/B1 Settore Scientifico disciplinare: INF/01 Operating System Contribution

More information

Memory Hierarchy. Slides contents from:

Memory Hierarchy. Slides contents from: Memory Hierarchy Slides contents from: Hennessy & Patterson, 5ed Appendix B and Chapter 2 David Wentzlaff, ELE 475 Computer Architecture MJT, High Performance Computing, NPTEL Memory Performance Gap Memory

More information

Interference-Aware Real-Time Flow Scheduling for Wireless Sensor Networks

Interference-Aware Real-Time Flow Scheduling for Wireless Sensor Networks Interference-Aware Real-Time Flow Scheduling for Wireless Sensor Networks Octav Chipara, Chengjie Wu, Chenyang Lu, William Griswold University of California, San Diego Washington University in St. Louis

More information

Shared Memory Multiprocessors. Symmetric Shared Memory Architecture (SMP) Cache Coherence. Cache Coherence Mechanism. Interconnection Network

Shared Memory Multiprocessors. Symmetric Shared Memory Architecture (SMP) Cache Coherence. Cache Coherence Mechanism. Interconnection Network Shared Memory Multis Processor Processor Processor i Processor n Symmetric Shared Memory Architecture (SMP) cache cache cache cache Interconnection Network Main Memory I/O System Cache Coherence Cache

More information

Simultaneous Multithreading: a Platform for Next Generation Processors

Simultaneous Multithreading: a Platform for Next Generation Processors Simultaneous Multithreading: a Platform for Next Generation Processors Paulo Alexandre Vilarinho Assis Departamento de Informática, Universidade do Minho 4710 057 Braga, Portugal paulo.assis@bragatel.pt

More information

15-740/ Computer Architecture Lecture 20: Main Memory II. Prof. Onur Mutlu Carnegie Mellon University

15-740/ Computer Architecture Lecture 20: Main Memory II. Prof. Onur Mutlu Carnegie Mellon University 15-740/18-740 Computer Architecture Lecture 20: Main Memory II Prof. Onur Mutlu Carnegie Mellon University Today SRAM vs. DRAM Interleaving/Banking DRAM Microarchitecture Memory controller Memory buses

More information

Assisting Cache Replacement by Helper-Threading for MPSoCs

Assisting Cache Replacement by Helper-Threading for MPSoCs Assisting Cache Replacement by Helper-Threading for MPSoCs Masaaki Kondo Graduate School of Information Science and Technology, The University of Tokyo MPSoC2015 1 Background Increasing number of cores

More information

Improving Real-Time Performance on Multicore Platforms Using MemGuard

Improving Real-Time Performance on Multicore Platforms Using MemGuard Improving Real-Time Performance on Multicore Platforms Using MemGuard Heechul Yun University of Kansas 2335 Irving hill Rd, Lawrence, KS heechul@ittc.ku.edu Abstract In this paper, we present a case-study

More information

Multiprocessors & Thread Level Parallelism

Multiprocessors & Thread Level Parallelism Multiprocessors & Thread Level Parallelism COE 403 Computer Architecture Prof. Muhamed Mudawar Computer Engineering Department King Fahd University of Petroleum and Minerals Presentation Outline Introduction

More information

Computer Architecture Lecture 24: Memory Scheduling

Computer Architecture Lecture 24: Memory Scheduling 18-447 Computer Architecture Lecture 24: Memory Scheduling Prof. Onur Mutlu Presented by Justin Meza Carnegie Mellon University Spring 2014, 3/31/2014 Last Two Lectures Main Memory Organization and DRAM

More information

Memory Hierarchy. Slides contents from:

Memory Hierarchy. Slides contents from: Memory Hierarchy Slides contents from: Hennessy & Patterson, 5ed Appendix B and Chapter 2 David Wentzlaff, ELE 475 Computer Architecture MJT, High Performance Computing, NPTEL Memory Performance Gap Memory

More information

Performance metrics for caches

Performance metrics for caches Performance metrics for caches Basic performance metric: hit ratio h h = Number of memory references that hit in the cache / total number of memory references Typically h = 0.90 to 0.97 Equivalent metric:

More information

High-Integrity Performance Monitoring Units in Automotive Chips for Reliable Timing V&V

High-Integrity Performance Monitoring Units in Automotive Chips for Reliable Timing V&V THEME ARTICLE: Automotive Computing High-Integrity Performance Monitoring Units in Automotive Chips for Reliable Timing V&V Enrico Mezzetti Leonidas Kosmidis Jaume Abella Francisco J. Cazorla Barcelona

More information

Staged Memory Scheduling

Staged Memory Scheduling Staged Memory Scheduling Rachata Ausavarungnirun, Kevin Chang, Lavanya Subramanian, Gabriel H. Loh*, Onur Mutlu Carnegie Mellon University, *AMD Research June 12 th 2012 Executive Summary Observation:

More information

Modelling, Analysis and Scheduling with Dataflow Models

Modelling, Analysis and Scheduling with Dataflow Models technische universiteit eindhoven Modelling, Analysis and Scheduling with Dataflow Models Marc Geilen, Bart Theelen, Twan Basten, Sander Stuijk, AmirHossein Ghamarian, Jeroen Voeten Eindhoven University

More information

Real-Time Cache Management for Multi-Core Virtualization

Real-Time Cache Management for Multi-Core Virtualization Real-Time Cache Management for Multi-Core Virtualization Hyoseung Kim 1,2 Raj Rajkumar 2 1 University of Riverside, California 2 Carnegie Mellon University Benefits of Multi-Core Processors Consolidation

More information

Research Article Time-Predictable Computer Architecture

Research Article Time-Predictable Computer Architecture Hindawi Publishing Corporation EURASIP Journal on Embedded Systems Volume 2009, Article ID 758480, 17 pages doi:10.1155/2009/758480 Research Article Time-Predictable Computer Architecture Martin Schoeberl

More information

Page 1. Multilevel Memories (Improving performance using a little cash )

Page 1. Multilevel Memories (Improving performance using a little cash ) Page 1 Multilevel Memories (Improving performance using a little cash ) 1 Page 2 CPU-Memory Bottleneck CPU Memory Performance of high-speed computers is usually limited by memory bandwidth & latency Latency

More information

On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques

On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques On the Comparison of Deterministic and Probabilistic WCET Estimation Techniques Jaume Abella, Damien Hardy, Isabelle Puaut, Eduardo Quiñones, Francisco J. Cazorla, University of Rennes I, IRISA Barcelona

More information

Understanding Shared Memory Bank Access Interference in Multi-Core Avionics

Understanding Shared Memory Bank Access Interference in Multi-Core Avionics Understanding Shared Memory Bank Access Interference in Multi-Core Avionics Andreas Löfwenmark and Simin Nadjm-Tehrani Department of Computer and Information Science Linköping University, Sweden {andreas.lofwenmark,

More information

Achieving Predictable Multicore Execution of Automotive Applications Using the LET Paradigm

Achieving Predictable Multicore Execution of Automotive Applications Using the LET Paradigm Achieving Predictable Multicore Execution of Automotive Applications Using the LET Paradigm Alessandro Biondi and Marco Di Natale Scuola Superiore Sant Anna, Pisa, Italy Introduction The introduction of

More information

Worst Case Analysis of DRAM Latency in Hard Real Time Systems

Worst Case Analysis of DRAM Latency in Hard Real Time Systems Worst Case Analysis of DRAM Latency in Hard Real Time Systems by Zheng Pei Wu A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree of Master of Applied

More information

Modeling Hardware Timing 1 Caches and Pipelines

Modeling Hardware Timing 1 Caches and Pipelines Modeling Hardware Timing 1 Caches and Pipelines Peter Puschner slides: P. Puschner, R. Kirner, B. Huber VU 2.0 182.101 SS 2016 Generic WCET Analysis Framework source code Extraction of Flow Facts Compilation

More information

Multi-core Programming Evolution

Multi-core Programming Evolution Multi-core Programming Evolution Based on slides from Intel Software ollege and Multi-ore Programming increasing performance through software multi-threading by Shameem Akhter and Jason Roberts, Evolution

More information

Efficient Microarchitecture Modeling and Path Analysis for Real-Time Software. Yau-Tsun Steven Li Sharad Malik Andrew Wolfe

Efficient Microarchitecture Modeling and Path Analysis for Real-Time Software. Yau-Tsun Steven Li Sharad Malik Andrew Wolfe Efficient Microarchitecture Modeling and Path Analysis for Real-Time Software Yau-Tsun Steven Li Sharad Malik Andrew Wolfe 1 Introduction Paper examines the problem of determining the bound on the worst

More information

CS3350B Computer Architecture

CS3350B Computer Architecture CS335B Computer Architecture Winter 25 Lecture 32: Exploiting Memory Hierarchy: How? Marc Moreno Maza wwwcsduwoca/courses/cs335b [Adapted from lectures on Computer Organization and Design, Patterson &

More information

WMC. MPSoCs for Mixed-Criticality Systems: Challenges and Opportunities. Mohamed Hassan

WMC. MPSoCs for Mixed-Criticality Systems: Challenges and Opportunities. Mohamed Hassan WMC MPSoCs for Mixed-Criticality Systems: Challenges and Opportunities Mohamed Hassan 1 IBM s Acorn Smart Phones Automotive 1943 1989 2010s 1981 2000s Now-Near Colossus NEC s UltaLite Wearables IoT/Smart

More information

arxiv: v4 [cs.ar] 19 Apr 2018

arxiv: v4 [cs.ar] 19 Apr 2018 Deterministic Memory Abstraction and Supporting Multicore System Architecture Farzad Farshchi, Prathap Kumar Valsan, Renato Mancuso, Heechul Yun University of Kansas, {farshchi, heechul.yun}@ku.edu Intel,

More information

15-740/ Computer Architecture

15-740/ Computer Architecture 15-740/18-740 Computer Architecture Lecture 16: Runahead and OoO Wrap-Up Prof. Onur Mutlu Carnegie Mellon University Fall 2011, 10/17/2011 Review Set 9 Due this Wednesday (October 19) Wilkes, Slave Memories

More information

Reconciling Time Predictability and Performance in Future Computing Systems

Reconciling Time Predictability and Performance in Future Computing Systems Reconciling Time Predictability and Performance in Future Computing Systems Francisco J. Cazorla, Jaume Abella, Enrico Mezzetti, Carles Hernandez, Tullio Vardanega, Guillem Bernat Barcelona Supercomputing

More information

Consumer Electronics Processors for Critical Real-Time Systems: a (Failed) Practical Experience

Consumer Electronics Processors for Critical Real-Time Systems: a (Failed) Practical Experience Consumer Electronics Processors for Critical Real-Time Systems: a (Failed) Practical Experience Gabriel Fernandez, Francisco Cazorla, Jaume Abella To cite this version: Gabriel Fernandez, Francisco Cazorla,

More information

JIGSAW: SCALABLE SOFTWARE-DEFINED CACHES

JIGSAW: SCALABLE SOFTWARE-DEFINED CACHES JIGSAW: SCALABLE SOFTWARE-DEFINED CACHES NATHAN BECKMANN AND DANIEL SANCHEZ MIT CSAIL PACT 13 - EDINBURGH, SCOTLAND SEP 11, 2013 Summary NUCA is giving us more capacity, but further away 40 Applications

More information

Speculative Lock Elision: Enabling Highly Concurrent Multithreaded Execution

Speculative Lock Elision: Enabling Highly Concurrent Multithreaded Execution Speculative Lock Elision: Enabling Highly Concurrent Multithreaded Execution Ravi Rajwar and Jim Goodman University of Wisconsin-Madison International Symposium on Microarchitecture, Dec. 2001 Funding

More information

A Multi-Modal Composability Framework for Cyber-Physical Systems

A Multi-Modal Composability Framework for Cyber-Physical Systems S5 Symposium June 12, 2012 A Multi-Modal Composability Framework for Cyber-Physical Systems Linh Thi Xuan Phan Insup Lee PRECISE Center University of Pennsylvania Avionics, Automotive Medical Devices Cyber-physical

More information

ARTIST-Relevant Research from Linköping

ARTIST-Relevant Research from Linköping ARTIST-Relevant Research from Linköping Department of Computer and Information Science (IDA) Linköping University http://www.ida.liu.se/~eslab/ 1 Outline Communication-Intensive Real-Time Systems Timing

More information

Cache Controller with Enhanced Features using Verilog HDL

Cache Controller with Enhanced Features using Verilog HDL Cache Controller with Enhanced Features using Verilog HDL Prof. V. B. Baru 1, Sweety Pinjani 2 Assistant Professor, Dept. of ECE, Sinhgad College of Engineering, Vadgaon (BK), Pune, India 1 PG Student

More information

Memory Architectures for NoC-Based Real-Time Mixed Criticality Systems

Memory Architectures for NoC-Based Real-Time Mixed Criticality Systems Memory Architectures for NoC-Based Real-Time Mixed Criticality Systems Neil Audsley Real-Time Systems Group Computer Science Department University of York York United Kingdom 2011-12 1 Overview Motivation:

More information

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy Chapter 5B Large and Fast: Exploiting Memory Hierarchy One Transistor Dynamic RAM 1-T DRAM Cell word access transistor V REF TiN top electrode (V REF ) Ta 2 O 5 dielectric bit Storage capacitor (FET gate,

More information

MARACAS: A Real-Time Multicore VCPU Scheduling Framework

MARACAS: A Real-Time Multicore VCPU Scheduling Framework : A Real-Time Framework Computer Science Department Boston University Overview 1 2 3 4 5 6 7 Motivation platforms are gaining popularity in embedded and real-time systems concurrent workload support less

More information

Response Time Analysis of Synchronous Data Flow Programs on a Many-Core Processor

Response Time Analysis of Synchronous Data Flow Programs on a Many-Core Processor Response Time Analysis of Synchronous Data Flow Programs on a Many-Core Processor Hamza Rihani, Matthieu Moy, Claire Maiza, Robert Davis, Sebastian Altmeyer To cite this version: Hamza Rihani, Matthieu

More information

ESE532: System-on-a-Chip Architecture. Today. Message. Real Time. Real-Time Tasks. Real-Time Guarantees. Real Time Demands Challenges

ESE532: System-on-a-Chip Architecture. Today. Message. Real Time. Real-Time Tasks. Real-Time Guarantees. Real Time Demands Challenges ESE532: System-on-a-Chip Architecture Day 9: October 1, 2018 Real Time Real Time Demands Challenges Today Algorithms Architecture Disciplines to achieve Penn ESE532 Fall 2018 -- DeHon 1 Penn ESE532 Fall

More information

Data Bus Slicing for Contention-Free Multicore Real-Time Memory Systems

Data Bus Slicing for Contention-Free Multicore Real-Time Memory Systems Data Bus Slicing for Contention-Free Multicore Real-Time Memory Systems Javier Jalle,, Eduardo Quiñones, Jaume Abella, Luca Fossati, Marco Zulianello, Francisco J. Cazorla, Barcelona Supercomputing Center

More information

High Performance Memory Requests Scheduling Technique for Multicore Processors

High Performance Memory Requests Scheduling Technique for Multicore Processors High Performance Memory Requests Scheduling Technique for Multicore Processors by Walid Ahmed Mohamed El-Reedy A Thesis Submitted to the Faculty of Engineering at Cairo University In Partial Fulfillment

More information

Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications

Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications Overview of Potential Software solutions making multi-core processors predictable for Avionics real-time applications Marc Gatti, Thales Avionics Sylvain Girbal, Xavier Jean, Daniel Gracia Pérez, Jimmy

More information

Secure Hierarchy-Aware Cache Replacement Policy (SHARP): Defending Against Cache-Based Side Channel Attacks

Secure Hierarchy-Aware Cache Replacement Policy (SHARP): Defending Against Cache-Based Side Channel Attacks : Defending Against Cache-Based Side Channel Attacks Mengjia Yan, Bhargava Gopireddy, Thomas Shull, Josep Torrellas University of Illinois at Urbana-Champaign http://iacoma.cs.uiuc.edu Presented by Mengjia

More information

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes Presented at Design Automation Conference (DAC) San Francisco, CA, June 4, 2012. Presented by Chuck Cruse FPGA Hardware

More information