ADVANCES IN PROCESSOR DESIGN AND THE EFFECTS OF MOORES LAW AND AMDAHLS LAW IN RELATION TO THROUGHPUT MEMORY CAPACITY AND PARALLEL PROCESSING

Size: px
Start display at page:

Download "ADVANCES IN PROCESSOR DESIGN AND THE EFFECTS OF MOORES LAW AND AMDAHLS LAW IN RELATION TO THROUGHPUT MEMORY CAPACITY AND PARALLEL PROCESSING"

Transcription

1 ADVANCES IN PROCESSOR DESIGN AND THE EFFECTS OF MOORES LAW AND AMDAHLS LAW IN RELATION TO THROUGHPUT MEMORY CAPACITY AND PARALLEL PROCESSING Evan Baytan Department of Electrical Engineering and Computer Science University of Central Florida Orlando, FL Page! 1 of! 7

2 Abstract In this paper we analyze the implementation of varying design techniques and their effects on processor architecture metrics. The metrics closely analyzed include: Die Area, CPU Clock Rate, Memory Capacity, Data Bus Word Width, Number of Cores or CPUs and Ideal Speedup for 99% parallel code. The metrics analyzed are then compared across the different processor architectures to identify trends or observations in relation to Moore s Law, Amdahl s Law and other relationships for performance. The references for the processor architectures used provide the year they were developed, and this is utilized to visually observe the changes to the chosen metrics over time. Keywords Clock Rate, Memory Capacity, Moore s Law, Amdahl s Law, Power Dissipation, Parallel Processing, Throughput, Pipelined, Multi-core, Multi-threaded, FIR Filter I. OVERVIEW OF PROCESSOR ARCHITECTURE 1) Classic Components: The five classic components of a computer system consist of: Data Path, Control, Memory, Input and Output. Control communicates with Memory, ALU, and I/O by providing signals on how to reply to calls from the CPU. Data Path performs the actual processing and data transfer from the Memory to the CPU. These methods of I/O provide the ability for the CPU and Memory to communicate and operate. Von Neumann architecture also consists of five components. Arithmetic Logic Unit, Control Unit, Memory, Input and Output. These components are seen to be synonymous with the five classic components of a computer system. 2) Processor Busses and Bit Width: CPU and Memory are two of the five classic components needed for computation. Data is often read and written to Memory due to the space required. When the CPU requests data from Memory, it will send the address of where it is stored across the address bus. Memory is accessed by means of these addresses. Memory then outputs the data at that corresponding address across the data bus to the CPU. The address bus is unidirectional from the CPU to Memory. The data bus is bidirectional between the CPU and Memory. 3) Metrics Studied: Clock Rate is a measure of how many clocks are executed per second. Clock Rate is typically expressed in the unit hertz (e.g. MHz, GHz). The unit hertz represents frequency or one cycle per second. Memory Capacity is the amount of space available for the storage and transfer of data (e.g. variables, instructions). Memory Capacity is typically represented in the unit byte (e.g. MB, GB). A byte also represents 8 bits and is often referred to as being the smallest addressable unit of memory. MB refers to a magnitude of a million bytes, and GB refers to a magnitude of a billion bytes. Number of Processors or Cores is a measure of how many instructions that can be processed at the same time. MIPS is a single core processor, in which only one instruction can be executed at a time. The number of cores is typically expressed in terms of Dual-Core, Quad-Core, 8- Core, etc. Data Bus Word-Width is a metric of how large the registers are in a CPU. It also determines the size of data that can be transferred to and from memory. Word- Width is expressed in the unit of bits. A bit can only have the values or 1 when representing information. Most computer systems use word-widths of 32 or 64 bits. MIPS is a 32-bit CPU that is used in the lab for EEL381C. Instruction Set Size represents the data types and instructions that can be used by a particular CPU. Instruction Set is often referred to as ISA or Instruction Set Architecture. The types of data and memory access are also determined by the ISA for the CPU. MIPS and x86 vary in their ISA s and therefore provide different instructions and their manipulation of registers. 4) Significance: Metrics examined and evaluated in Table 1 provide a general but supportive analysis of a CPU and its relative performance. All metrics covered have increased respectively over the past 2 years. In particular, transistor count has been closely following the observation of Moore s Law. The amount of transistors has doubled every 18 months to 2 years. The four metrics analyzed can be applied to any CPU in question to measure it s relative performance. These metrics can be used as benchmarks towards improvement and speedup factors for a given computer system. Amdahl s Law is also closely referenced in relation to parallel processing and usage for speedup. This is achieved by the introduction of multiple cores in CPU s. 5) Processor Performance Equation: IC represents Instruction Count in the equation above. IC equates to the number of dynamic instructions executed at runtime. This can be obtained in MARS4.5 > Tools > Instruction Counter. CPI represents the effective or average number of clocks per instruction (e.g. 1.5, 4.5). Cycle Time represents the time for one complete clock cycle (e.g..5 nsec, 5 psec). 6) Parallelism: Involves the process of dividing a larger task into numerous smaller sub-tasks to be computed at the same time. Multi-core computer systems utilize this principle to process multiple instructions simultaneously. Each core reads and executes instructions which greatly reduces execution time and increases throughput. Execution Time is the best performance metric for benchmarking performance. Multiple cores are typically integrated onto the same die of a packaged CPU. The limits of parallelism and it s applications to program code rely on the fraction that is sequential code. The ideal case is for 1. or 1% of code to be parallelizable. Amdahl s Law revolves around the effects of improving a particular component of a system, and how the speedup ultimately relies on the proportion the component is utilized in the system. In other words, to obtain a higher speedup factor, it is best to improve the component that makes up a larger percentage of the system. Page! 2 of! 7

3 Section II proceeds with the evaluation and analysis of five baseline computer systems, and five comparison design systems in relation to the metrics discussed in Section I Part 3. The data and results obtained are then organized based on decade to express the metrics covered and their effects over the years. Section III dives deeper into the analysis of the respective metrics covered. The trends for the metrics are tabulated and plotted to show a visual representation of Moore s Law, Amdahl s Law, and the improvement of computer systems as a whole. II. LITERATURE REVIEW 1. Five Baseline Design Computer Systems: 1. [1] 2. [1] 3. Athlon XP3+ [1] 4. FIR Filter [2] 5. [3] Metrics analyzed for the design of architecture includes: Die Area, CPU Clock Rate, Data Bus Word Width, Number of Cores/CPUs and Ideal Speedup for 99% parallel code [1]. Metrics analyzed for the design of architecture includes: Die Area, CPU Clock Rate, Data Bus Word Width, Number of Cores/CPUs and Ideal Speedup for 99% parallel code [1]. and architectures were evaluated using the same metrics [1]. Metrics analyzed for the design of FIR Filter architecture includes: CPU Clock Rate and Data Bus Word Width [2]. Metrics analyzed for the design of architecture includes: CPU Clock Rate, Data Bus Word Width, Number of Cores/CPUs and Ideal Speedup [3]. Memory Capacity was obtained for 8% of the baseline designs [1, 3] and three of the comparison designs [6, 7, 8]. 2. Five Comparison Design Computer Systems: 1. [4] 2. Processor [5] 3. Processor [6] 4. Processor [7] 5. Processor [8] Metrics analyzed for the design of architecture includes: CPU Clock Rate, Data Bus Word Width, Number of Cores/CPUs and Ideal Speedup for 99% parallel code [4]. Metrics analyzed for the design of Processor architecture includes: Die Area, CPU Clock Rate, Data Bus Word Width, Number of Cores/CPUs and Ideal Speedup for 99% parallel code [5]. Metrics analyzed for the design of 8-Core Enterprise Xeon Processor architecture includes: Die Area, CPU Clock Rate, Data Bus Word Width, Number of Cores/ CPUs and Ideal Speedup for 99% parallel code [6]. Metrics analyzed for the design of Processor architecture includes: Die Area, CPU Clock Rate, Data Bus Word Width, Number of Cores/CPUs and Ideal Speedup for 99% parallel code [7]. Metrics analyzed for the design of Processor architecture includes: CPU Clock Rate, Data Bus Word Width, Number of Cores/CPUs and Ideal Speedup for 99% parallel code [8]. CMOS logic was determined for 6% of the comparison designs [6, 7, 8] and one of the baseline designs [2]. 3) Baseline and Comparison Designs Timeline* (1993) 2-29 (25) (25) Athlon XP3+ (25) FIR Filter (26) (29) Processor (21) Processor (211) Processor (211) Processor (214) * Timeline years are based off the year of the paper 4) Summary of Baseline and Comparison Designs In 1993, the architecture design was introduced [3]. The design was applied to natural language understanding (NLU). NLU is a component of artificial intelligence. It contained 144 DSP chips connected to 8 circuit boards. The DSP chips had a clock rate of 25 MHz and a data bus word width of 32 bits. The design consisted of 144 single core processors. SNAP-1 utilized parallelism to increase speed and accuracy. In 25, the architecture design was introduced [1]. The design was applied to One Semi- Automated Forces Testbed (OTBSAF). OTBSAF is a simulation application that was adapted for embedded training and benchmarking. The area of the die was 8 mm 2. Not as large of a die compared to the design. The chips had a clock rate of 1 MHz and a data bus word width of 32 bits. The design consisted of a single core, in which parallelism was not introduced. In 25, the architecture design was introduced [1]. The design was also applied to OTBSAF simulation benchmarking. The area of the die was 217 mm 2. The die was more than double the size of the previous design. The chips had a clock rate of 2 MHz and a data bus word width of 32 or 64 bits. introduced 64-bit instruction set architecture. The design consisted of a single core, in which parallelism was not introduced to increase speed and throughput. In 25, the Athlon XP3+ architecture design was introduced [1]. The design was also applied to OTBSAF simulation benchmarking. The area of the die was 11 mm 2. The die size was around the same as a chip. The chips had a clock rate of 2 MHz and a data bus word Page! 3 of! 7

4 width of 32 bits. The design consisted of a single core processor, in which parallelism was not utilized. In 26, the FIR Filter architecture design was introduced [2]. The design was applied by 2-Dimensional pipeline gating in order to improve power awareness of pipelined array multipliers. The design consisted of.24 µm static CMOS logic. The hardware had a clock rate of 125 MHz and a data bus word width of 16 bits. The design did not specify the amount of cores and their affects on speedup. In 29, the architecture design was introduced [4]. The architecture was applied to parallel pipelined design to reduce power usage. The design consisted of 189 four-input LUTs and 397 flip-flops. The chip had a clock rate of 25.7 MHz and a data bus word width of 32 bits. The design consisted of a single core processor. In 21, the Processor design was introduced [6]. The architecture was implemented using a 45 nm nine-metal process. The design consisted of numerous clock and voltage domains to increase efficiency and decrease power consumed. The design incorporated 2.3 billion transistors. The area of the die was 684 mm 2. The chip had a clock rate of 227 MHz and a data bus word width of 64 bits. The design consisted of 8 cores and utilized parallelism to increase speed and throughput. In 211, the Processor design was introduced [7]. The architecture was applied with on-die message-passing and Dynamic Voltage and Frequency Scaling (DVFS) to increase performance and manage power. The design incorporated 1.3 billion transistors. The area of the die was 567 mm 2. The chip had a clock rate of 1 MHz and a data bus word width of 32 bits. The design consisted of 48 cores and utilized parallelism to increase speed and throughput. In 211, the Processor design was introduced [5]. The architecture was applied to signal processing applications, and more specifically convolution. The area of the die was.65 mm 2. The chip had a clock rate of 2 MHz and a data bus word width of 16 bits. The design consisted of a single core processor. The design did not utilize parallelism in its approach. In 214, the Processor design was introduced [8]. The architecture was applied with reconfigurable same-instruction multiple process (RSIMP) to decrease the amount of power that instruction memory consumes. The chip had a clock rate of 8 MHz and a data bus word width of 32. The design consisted of 16 cores and utilized parallelism to increase performance. III. DATA ANALYSIS Multiple plots were developed based on the data obtained from the reference papers. The X-Axis for the plots is organized chronologically from left to right. This is intended to show the differences between the metrics in question, and the year of when the paper was written. CPU clock rate is plotted in Figure 1 to compare the different architecture designs. The architectures that were used for embedded systems tended to have slower clock rates than those used for desktop applications (e.g. vs MIPS). Some designs developed between 2-29 had faster clock rates than those from This was not due to technology restrictions, but the specific design application. Number of Cores or CPUs CPU Clock Rate (MHz) Figure 1 - CPU Clock Rate vs. Architecture Design Athlon XP3+ FIR Filter Figure 2 - Number of Cores vs. Architecture Design Athlon XP3+ Page! 4 of! 7

5 Number of cores or CPUs is plotted in Figure 2 to compare the different architecture designs. There wasn t necessarily a correlation between when the design was developed and the number of cores or CPUs. This can be seen visually in the figure. The was developed around 1993 and supported 144 cores, while the was developed in 25 and supported 1 core. Die area (mm 2 ) is plotted in Figure 3 below to compare the different architecture designs. 6% of the architecture design papers provided the size for the die. Die area size peaks tremendously for the architecture, and then decreases in a linear scale. Desktop level architectures with multiple cores tended to have larger die areas than single core systems. Die Area (mm^2) Figure 3 - Die Area vs. Architecture Design Athlon XP3+ Ideal speedup for 99% parallel code is plotted in Figure 4 to compare the different architecture designs. Designs with multicore architectures resulted in higher speedup. Single processor designs did not utilize parallelism to increase performance and resulted in a speedup less than 1. Multicore processor architectures resulted in a speedup greater than 1. These observations can be seen in the figure. The FIR Filter architecture design did not provide the number of cores or CPUs. All but one of the computer architectures was plotted. Data bus word width is plotted in Figure 5 to compare the different architecture designs. There was no correlation between data bus word width and year. The and were the only 64-bit architecture designs. The was developed in 1993 and has a word width of 32-bits. The Low Power Multicore design was developed 214 and also has a word width of 32-bits. Thus, there is not a linear correlation. Page! 5 of! 7 Ideal Speedup for 99% Parallel Data Bus Word Width (bits) Figure 4 - Ideal Speedup for 99% Parallel Code vs. Architecture Design Athlon XP3+ Figure 5 - Data Word Width vs. Architecture Design Athlon XP3+ FIR Filter

6 Memory Capacity (MB) Figure 6 Memory Capacity vs. Architecture Design Memory capacity is plotted in Figure 6 above to compare the different architecture designs. There was no direct correlation between memory capacity increasing or decreasing depending on the year. The had the largest memory capacity, compared to the other three architecture designs that provided the metric. This is largely due to the amount of cores that the architecture design supported. The Processor had a significantly lower memory capacity compared to the rest. The memory capacity was all dependent on the architecture design used and its application. instead for small embedded systems. This affected metrics such as CPU Clock Rate, Die Area, Data Bus Word Width and more just to name a few. Energy efficiency was not closely analyzed for the different architecture designs, but from the research performed, processor architectures are becoming more energy efficient and consume less power over the past 15 years. The different techniques used for the architecture designs impact not only performance in regards to throughput and speed, but also towards energy efficiency. V. REFERENCES 1. H. A. Bahr and R. F. DeMara, "OTBSAF Scalability on /4 and Athlon 64/XP3 Architectures," in MSIAC Modeling and Simulation Journal, on February 9, 25, Vol.6, No. 3, March, 25, pp J. Di, J. S. Yuan, and R. F. DeMara, "Improving Power-awareness of Pipelined Array Multipliers using 2-Dimensional Pipeline Gating and its Application to FIR Design," Integration, the VLSI Journal, Vol. 39, No. 2, March, 26, pp R. F. DeMara and D. I. Moldovan, "The SNAP-1 Parallel AI Prototype," IEEE Transactions on Parallel and Distributed Systems, Vol. 4, No. 8, August, 1993, pp Gautham, P.; Parthasarathy, R.; Balasubramanian, K., "Low-power pipelined MIPS processor design," Integrated Circuits, ISIC '9. Proceedings of the 29 12th International Symposium on, vol., no., pp.462,465, Dec Sakthikumaran, S.; Salivahanan, S.; Bhaaskaran, V.S.K., "16-Bit RISC processor design for convolution application," Recent Trends in Information Technology (ICRTIT), 211 International Conference on, vol., no., pp.394,397, 3-5 June Rusu, S.; Simon Tam; Muljono, H.; Stinson, J.; Ayers, D.; Chang, Jonathan; Varada, R.; Ratta, M.; Kottapalli, S.; Vora, S., "A 45 nm 8- Core Enterprise Xeon Processor," Solid-State Circuits, IEEE Journal of, vol.45, no.1, pp.7,14, Jan Howard, J.; Dighe, S.; Vangal, S.R.; Ruhl, G.; Borkar, N.; Jain, S.; Erraguntla, V.; Konow, M.; Riepen, M.; Gries, M.; Droege, G.; Lund- Larsen, T.; Steibl, S.; Borkar, S.; De, V.K.; Van Der Wijngaart, R., "A Processor in 45 nm CMOS Using On-Die Message- Passing and DVFS for Performance and Power Scaling," Solid-State Circuits, IEEE Journal of, vol.46, no.1, pp.173,183, Jan Zheng Yu; Zhiyi Yu; Xueqiu Yu; Ningxi Liu; Xiaoyang Zeng, "Low- Power Multicore Processor Design With Reconfigurable Same- Instruction Multiple Process," Circuits and Systems II: Express Briefs, IEEE Transactions on, vol.61, no.6, pp.423,427, June 214. IV. CONCLUSION Numerous metrics were evaluated for each processor architecture design. The designs differed in their approaches for optimization and increasing performance. Parallelism seemed to be the most used strategy for increasing throughput and speed. Amdahl s Law is closely observed in regards to speedup for multicore architectures. However, not all architecture designs were multicore in order to take advantage of parallelism. Five plots were created to compare the metrics observed versus the year the paper was published. It was assumed that the architecture design was developed around the same time as the year the paper was written. All of the metrics and data were tabulated into Table 1 and certain trends can be made. For one, Moore s Law can be identified when comparing the increase in the number of transistors for the architectures. The plots are not as linear as would be expected. This is largely due to the applications for the different architecture designs. Not all designs were used for use, but Page! 6 of! 7

7 Metrics Analyzed for Computer Architecture Designs Name of Architecture Design [reference] Purpose: Application-Specific or General-purpose Computation Die Area, Number of Transistors, or Number of Chips/ Boards/etc. CPU Clock Rate (MHz) Memory Capacity (MB) Data Bus Word Width (bits) Number of Cores or CPUs Ideal Speedup for 99% parallel code (ignoring overheads) [3] NLU: Special Purpose 144 DSP Chips on 8 large circuit boards KB/ CPU* 144 CPU = 36.86MB single core CPUs = 144 cores 144 cores so Told/ Tnew= 1/(.1+ (.99/144)) = fold [1] Desktop/Mobile Die Area = 8 mm 2 1 N/A 32 1 core 1/(.1 + (.99/1)) =.92-fold [1] Desktop/Mobile Die Area = 217 mm 2 2 N/A 32/64 1 core 1/(.1 + (.99/1)) =.92-fold Athlon XP3+ [1] Desktop/Mobile Die Area = 11 mm 2 2 N/A 32 1 core 1/(.1 + (.99/1)) =.92-fold FIR Filter [2] Low Power Design: 2D Pipeline Gating.24 um static CMOS logic 125 N/A 16 N/A N/A [4] Embedded Systems 189 four-input LUTs and 397 flipflops 25.7 N/A 32 1 core 1/(.1 + (.99/1)) =.92-fold Processor [5] Supercomputers / Microprocessors Die Area =.65 mm 2 2 N/A 16 1 core 1/(.1 + (.99/1)) =.92-fold Processor [6] Desktop 2.3 Billion transistors, Die Area = 684 mm 2, 45nm CMOS MB 64 8 core 1/(.1 + (.99/8)) = 4.47-fold Processor [7] Desktop Microprocessors 1.3 Billion transistors, Die Area = 567 mm 2, 45nm CMOS KB/ CPU* 48 CPU = 18.43MB core 1/(.1 + (.99/48)) = 8.29-fold Processor [8] Embedded Systems, Reduce IM power consumption 65 nm CMOS 8 32 KB/ CPU* 16 CPU =.5MB core 1/(.1 + (.99/16)) = 6.18-fold Page! 7 of! 7

Review and Analysis of Select Performance Metrics for Processor Architecture Designs: a chronology from the 1990s to the Present

Review and Analysis of Select Performance Metrics for Processor Architecture Designs: a chronology from the 1990s to the Present Review and Analysis of Select Performance Metrics for Processor Architecture Designs: a chronology from the 199s to the Present William J. Santos Department of Electrical Engineering and Computer Science

More information

Comparison of Processor Architectures and Metrics from 1992 to 2011

Comparison of Processor Architectures and Metrics from 1992 to 2011 Comparison of Processor Architectures and Metrics from 1992 to 211 Michael Colucciello Department of Electrical Engineering and Computer Science University of Central Florida Orlando, FL 32816-2362 Abstract

More information

Determining the Relevancy of Moore's Law Through the Comparison of Ten Distinct Processor Systems

Determining the Relevancy of Moore's Law Through the Comparison of Ten Distinct Processor Systems Determining the Relevancy of Moore's Law Through the Comparison of Ten Distinct Processor Systems Nickolas DeVito Department of Electrical Engineering and Computer Science University of Central Florida

More information

The Time is Moving and The Processor Technology also changing through time

The Time is Moving and The Processor Technology also changing through time The Time is Moving and The Processor Technology also changing through time 1993 214 Hai Nguyen Le Thanh Department of Electrical Engineering and Computer Science University of Central Florida Orlando,

More information

An Analysis of machine processors and their evolution of performance, metrics and intended uses with respect to time.

An Analysis of machine processors and their evolution of performance, metrics and intended uses with respect to time. An Analysis of machine processors and their evolution of performance, metrics and intended uses with respect to time. Ryan Hromada Department of Electrical Engineering and Computer Science University of

More information

Survey of Processor Architectures and Applied Metrics

Survey of Processor Architectures and Applied Metrics Survey of Processor Architectures and Applied Metrics Luis Gamarra Jimenez Department of Electrical Engineering and Computer Science University of Central Florida Orlando, FL 816-2362 Abstract Processor

More information

A Retrospective Examination of Moore s Law Relative to Multiple CPU Designs from the 1990 s to Present Day

A Retrospective Examination of Moore s Law Relative to Multiple CPU Designs from the 1990 s to Present Day A Retrospective Examination of Moore s Law Relative to Multiple CPU Designs from the 199 s to Present Day Steven Nguyen Department of Electrical Engineering and Computer Science University of Central Florida

More information

Computer Architecture s Changing Definition

Computer Architecture s Changing Definition Computer Architecture s Changing Definition 1950s Computer Architecture Computer Arithmetic 1960s Operating system support, especially memory management 1970s to mid 1980s Computer Architecture Instruction

More information

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah

PERFORMANCE METRICS. Mahdi Nazm Bojnordi. CS/ECE 6810: Computer Architecture. Assistant Professor School of Computing University of Utah PERFORMANCE METRICS Mahdi Nazm Bojnordi Assistant Professor School of Computing University of Utah CS/ECE 6810: Computer Architecture Overview Announcement Sept. 5 th : Homework 1 release (due on Sept.

More information

Computer and Information Sciences College / Computer Science Department CS 207 D. Computer Architecture

Computer and Information Sciences College / Computer Science Department CS 207 D. Computer Architecture Computer and Information Sciences College / Computer Science Department CS 207 D Computer Architecture The Computer Revolution Progress in computer technology Underpinned by Moore s Law Makes novel applications

More information

Quiz for Chapter 1 Computer Abstractions and Technology

Quiz for Chapter 1 Computer Abstractions and Technology Date: Not all questions are of equal difficulty. Please review the entire quiz first and then budget your time carefully. Name: Course: Solutions in Red 1. [15 points] Consider two different implementations,

More information

Copyright 2012, Elsevier Inc. All rights reserved.

Copyright 2012, Elsevier Inc. All rights reserved. Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

Performance COE 403. Computer Architecture Prof. Muhamed Mudawar. Computer Engineering Department King Fahd University of Petroleum and Minerals

Performance COE 403. Computer Architecture Prof. Muhamed Mudawar. Computer Engineering Department King Fahd University of Petroleum and Minerals Performance COE 403 Computer Architecture Prof. Muhamed Mudawar Computer Engineering Department King Fahd University of Petroleum and Minerals What is Performance? How do we measure the performance of

More information

Fundamentals of Quantitative Design and Analysis

Fundamentals of Quantitative Design and Analysis Fundamentals of Quantitative Design and Analysis Dr. Jiang Li Adapted from the slides provided by the authors Computer Technology Performance improvements: Improvements in semiconductor technology Feature

More information

Performance of computer systems

Performance of computer systems Performance of computer systems Many different factors among which: Technology Raw speed of the circuits (clock, switching time) Process technology (how many transistors on a chip) Organization What type

More information

Designing for Performance. Patrick Happ Raul Feitosa

Designing for Performance. Patrick Happ Raul Feitosa Designing for Performance Patrick Happ Raul Feitosa Objective In this section we examine the most common approach to assessing processor and computer system performance W. Stallings Designing for Performance

More information

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

Chapter 1: Fundamentals of Quantitative Design and Analysis

Chapter 1: Fundamentals of Quantitative Design and Analysis 1 / 12 Chapter 1: Fundamentals of Quantitative Design and Analysis Be careful in this chapter. It contains a tremendous amount of information and data about the changes in computer architecture since the

More information

CSCI 402: Computer Architectures. Computer Abstractions and Technology (4) Fengguang Song Department of Computer & Information Science IUPUI.

CSCI 402: Computer Architectures. Computer Abstractions and Technology (4) Fengguang Song Department of Computer & Information Science IUPUI. CSCI 402: Computer Architectures Computer Abstractions and Technology (4) Fengguang Song Department of Computer & Information Science IUPUI Contents 1.7 - End of Chapter 1 Power wall The multicore era

More information

Computers: Inside and Out

Computers: Inside and Out Computers: Inside and Out Computer Components To store binary information the most basic components of a computer must exist in two states State # 1 = 1 State # 2 = 0 1 Transistors Computers use transistors

More information

Advanced Computer Architecture (CS620)

Advanced Computer Architecture (CS620) Advanced Computer Architecture (CS620) Background: Good understanding of computer organization (eg.cs220), basic computer architecture (eg.cs221) and knowledge of probability, statistics and modeling (eg.cs433).

More information

Performance, Power, Die Yield. CS301 Prof Szajda

Performance, Power, Die Yield. CS301 Prof Szajda Performance, Power, Die Yield CS301 Prof Szajda Administrative HW #1 assigned w Due Wednesday, 9/3 at 5:00 pm Performance Metrics (How do we compare two machines?) What to Measure? Which airplane has the

More information

LECTURE 1. Introduction

LECTURE 1. Introduction LECTURE 1 Introduction CLASSES OF COMPUTERS When we think of a computer, most of us might first think of our laptop or maybe one of the desktop machines frequently used in the Majors Lab. Computers, however,

More information

Course web site: teaching/courses/car. Piazza discussion forum:

Course web site:   teaching/courses/car. Piazza discussion forum: Announcements Course web site: http://www.inf.ed.ac.uk/ teaching/courses/car Lecture slides Tutorial problems Courseworks Piazza discussion forum: http://piazza.com/ed.ac.uk/spring2018/car Tutorials start

More information

1.3 Data processing; data storage; data movement; and control.

1.3 Data processing; data storage; data movement; and control. CHAPTER 1 OVERVIEW ANSWERS TO QUESTIONS 1.1 Computer architecture refers to those attributes of a system visible to a programmer or, put another way, those attributes that have a direct impact on the logical

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

Response Time and Throughput

Response Time and Throughput Response Time and Throughput Response time How long it takes to do a task Throughput Total work done per unit time e.g., tasks/transactions/ per hour How are response time and throughput affected by Replacing

More information

ECE 154A. Architecture. Dmitri Strukov

ECE 154A. Architecture. Dmitri Strukov ECE 154A Introduction to Computer Architecture Dmitri Strukov Lecture 1 Outline Admin What this class is about? Prerequisites ii Simple computer Performance Historical trends Economics 2 Admin Office Hours:

More information

EECS4201 Computer Architecture

EECS4201 Computer Architecture Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis These slides are based on the slides provided by the publisher. The slides will be

More information

SYSTEM BUS AND MOCROPROCESSORS HISTORY

SYSTEM BUS AND MOCROPROCESSORS HISTORY SYSTEM BUS AND MOCROPROCESSORS HISTORY Dr. M. Hebaishy momara@su.edu.sa http://colleges.su.edu.sa/dawadmi/fos/pages/hebaishy.aspx Digital Logic Design Ch1-1 SYSTEM BUS The CPU sends various data values,

More information

Quiz for Chapter 1 Computer Abstractions and Technology 3.10

Quiz for Chapter 1 Computer Abstractions and Technology 3.10 Date: 3.10 Not all questions are of equal difficulty. Please review the entire quiz first and then budget your time carefully. Name: Course: 1. [15 points] Consider two different implementations, M1 and

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 1. Computer Abstractions and Technology

COMPUTER ORGANIZATION AND DESIGN. 5 th Edition. The Hardware/Software Interface. Chapter 1. Computer Abstractions and Technology COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 1 Computer Abstractions and Technology Classes of Computers Personal computers General purpose, variety of software

More information

The Computer Revolution. Classes of Computers. Chapter 1

The Computer Revolution. Classes of Computers. Chapter 1 COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition 1 Chapter 1 Computer Abstractions and Technology 1 The Computer Revolution Progress in computer technology Underpinned by Moore

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

Lec 25: Parallel Processors. Announcements

Lec 25: Parallel Processors. Announcements Lec 25: Parallel Processors Kavita Bala CS 340, Fall 2008 Computer Science Cornell University PA 3 out Hack n Seek Announcements The goal is to have fun with it Recitations today will talk about it Pizza

More information

EE282 Computer Architecture. Lecture 1: What is Computer Architecture?

EE282 Computer Architecture. Lecture 1: What is Computer Architecture? EE282 Computer Architecture Lecture : What is Computer Architecture? September 27, 200 Marc Tremblay Computer Systems Laboratory Stanford University marctrem@csl.stanford.edu Goals Understand how computer

More information

Analysis of ALU Designs Aim for Improvement in Processor Efficiency and Capability from

Analysis of ALU Designs Aim for Improvement in Processor Efficiency and Capability from Analysis of ALU Designs Aim f Improvement in Process Efficiency and Capability from 2-26 Linnette Martinez Department of Electrical and Computer Engineering University of Central Flida Orlando, FL 3286-2362

More information

CC312: Computer Organization

CC312: Computer Organization CC312: Computer Organization 1 Chapter 1 Introduction Chapter 1 Objectives Know the difference between computer organization and computer architecture. Understand units of measure common to computer systems.

More information

Computer Architecture

Computer Architecture Computer Architecture Architecture The art and science of designing and constructing buildings A style and method of design and construction Design, the way components fit together Computer Architecture

More information

Computer Organization & Assembly Language Programming (CSE 2312)

Computer Organization & Assembly Language Programming (CSE 2312) Computer Organization & Assembly Language Programming (CSE 2312) Lecture 3 Taylor Johnson Summary from Last Time Binary to decimal, decimal to binary, ASCII Structured computers Multilevel computers and

More information

INTEL Architectures GOPALAKRISHNAN IYER FALL 2009 ELEC : Computer Architecture and Design

INTEL Architectures GOPALAKRISHNAN IYER FALL 2009 ELEC : Computer Architecture and Design INTEL Architectures GOPALAKRISHNAN IYER FALL 2009 GBI0001@AUBURN.EDU ELEC 6200-001: Computer Architecture and Design Silicon Technology Moore s law Moore's Law describes a long-term trend in the history

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

EITF20: Computer Architecture Part1.1.1: Introduction

EITF20: Computer Architecture Part1.1.1: Introduction EITF20: Computer Architecture Part1.1.1: Introduction Liang Liu liang.liu@eit.lth.se 1 Course Factor Computer Architecture (7.5HP) http://www.eit.lth.se/kurs/eitf20 EIT s Course Service Desk (studerandeexpedition)

More information

The Memory Hierarchy & Cache

The Memory Hierarchy & Cache Removing The Ideal Memory Assumption: The Memory Hierarchy & Cache The impact of real memory on CPU Performance. Main memory basic properties: Memory Types: DRAM vs. SRAM The Motivation for The Memory

More information

The Memory Component

The Memory Component The Computer Memory Chapter 6 forms the first of a two chapter sequence on computer memory. Topics for this chapter include. 1. A functional description of primary computer memory, sometimes called by

More information

Advanced Computer Architecture

Advanced Computer Architecture Advanced Computer Architecture 1 L E C T U R E 0 J A N L E M E I R E Course Objectives 2 Intel 4004 1971 2.3K trans. Intel Core 2 Duo 2006 291M trans. Where have all the transistors gone? Turing Machine

More information

Lecture 1: Introduction

Lecture 1: Introduction Contemporary Computer Architecture Instruction set architecture Lecture 1: Introduction CprE 581 Computer Systems Architecture, Fall 2016 Reading: Textbook, Ch. 1.1-1.7 Microarchitecture; examples: Pipeline

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. 5 th. Edition. Chapter 1. Computer Abstractions and Technology

COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface. 5 th. Edition. Chapter 1. Computer Abstractions and Technology COMPUTER ORGANIZATION AND DESIGN The Hardware/Software Interface 5 th Edition Chapter 1 Computer Abstractions and Technology The Computer Revolution Progress in computer technology Underpinned by Moore

More information

Write only as much as necessary. Be brief!

Write only as much as necessary. Be brief! 1 CIS371 Computer Organization and Design Midterm Exam Prof. Martin Thursday, March 15th, 2012 This exam is an individual-work exam. Write your answers on these pages. Additional pages may be attached

More information

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Introduction. Summary. Why computer architecture? Technology trends Cost issues Introduction 1 Summary Why computer architecture? Technology trends Cost issues 2 1 Computer architecture? Computer Architecture refers to the attributes of a system visible to a programmer (that have

More information

Computer Architecture. Introduction. Lynn Choi Korea University

Computer Architecture. Introduction. Lynn Choi Korea University Computer Architecture Introduction Lynn Choi Korea University Class Information Lecturer Prof. Lynn Choi, School of Electrical Eng. Phone: 3290-3249, 공학관 411, lchoi@korea.ac.kr, TA: 윤창현 / 신동욱, 3290-3896,

More information

Multicore computer: Combines two or more processors (cores) on a single die. Also called a chip-multiprocessor.

Multicore computer: Combines two or more processors (cores) on a single die. Also called a chip-multiprocessor. CS 320 Ch. 18 Multicore Computers Multicore computer: Combines two or more processors (cores) on a single die. Also called a chip-multiprocessor. Definitions: Hyper-threading Intel's proprietary simultaneous

More information

High Performance Computing

High Performance Computing High Performance Computing CS701 and IS860 Basavaraj Talawar basavaraj@nitk.edu.in Course Syllabus Definition, RISC ISA, RISC Pipeline, Performance Quantification Instruction Level Parallelism Pipeline

More information

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN 1 Introduction The evolution of integrated circuit (IC) fabrication techniques is a unique fact in the history of modern industry. The improvements in terms of speed, density and cost have kept constant

More information

Overview of Today s Lecture: Cost & Price, Performance { 1+ Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class

Overview of Today s Lecture: Cost & Price, Performance { 1+ Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class Overview of Today s Lecture: Cost & Price, Performance EE176-SJSU Computer Architecture and Organization Lecture 2 Administrative Matters Finish Lecture1 Cost and Price Add/Drop - See me after class EE176

More information

TDT 4260 lecture 2 spring semester 2015

TDT 4260 lecture 2 spring semester 2015 1 TDT 4260 lecture 2 spring semester 2015 Lasse Natvig, The CARD group Dept. of computer & information science NTNU 2 Lecture overview Chapter 1: Fundamentals of Quantitative Design and Analysis, continued

More information

Chapter 1. Computer Abstractions and Technology. Lesson 2: Understanding Performance

Chapter 1. Computer Abstractions and Technology. Lesson 2: Understanding Performance Chapter 1 Computer Abstractions and Technology Lesson 2: Understanding Performance Indeed, the cost-performance ratio of the product will depend most heavily on the implementer, just as ease of use depends

More information

GRE Architecture Session

GRE Architecture Session GRE Architecture Session Session 2: Saturday 23, 1995 Young H. Cho e-mail: youngc@cs.berkeley.edu www: http://http.cs.berkeley/~youngc Y. H. Cho Page 1 Review n Homework n Basic Gate Arithmetics n Bubble

More information

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining

Design & Analysis of 16 bit RISC Processor Using low Power Pipelining International OPEN ACCESS Journal ISSN: 2249-6645 Of Modern Engineering Research (IJMER) Design & Analysis of 16 bit RISC Processor Using low Power Pipelining Yedla Venkanna 148R1D5710 Branch: VLSI ABSTRACT:-

More information

PERFORMANCE MEASUREMENT

PERFORMANCE MEASUREMENT Administrivia CMSC 411 Computer Systems Architecture Lecture 3 Performance Measurement and Reliability Homework problems for Unit 1 posted today due next Thursday, 2/12 Start reading Appendix C Basic Pipelining

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

Lecture 2: Performance

Lecture 2: Performance Lecture 2: Performance Today s topics: Technology wrap-up Performance trends and equations Reminders: YouTube videos, canvas, and class webpage: http://www.cs.utah.edu/~rajeev/cs3810/ 1 Important Trends

More information

Auto-Tuning Multi-Programmed Workload on the SCC

Auto-Tuning Multi-Programmed Workload on the SCC Auto-Tuning Multi-Programmed Workload on the SCC Brian Roscoe, Mathias Herlev, Chen Liu Department of Electrical and Computer Engineering Clarkson University Potsdam, NY 13699, USA {roscoebj,herlevm,cliu}@clarkson.edu

More information

Lecture 2: Computer Performance. Assist.Prof.Dr. Gürhan Küçük Advanced Computer Architectures CSE 533

Lecture 2: Computer Performance. Assist.Prof.Dr. Gürhan Küçük Advanced Computer Architectures CSE 533 Lecture 2: Computer Performance Assist.Prof.Dr. Gürhan Küçük Advanced Computer Architectures CSE 533 Performance and Cost Purchasing perspective given a collection of machines, which has the - best performance?

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

ECE 471 Embedded Systems Lecture 2

ECE 471 Embedded Systems Lecture 2 ECE 471 Embedded Systems Lecture 2 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 7 September 2018 Announcements Reminder: The class notes are posted to the website. HW#1 will

More information

Serial. Parallel. CIT 668: System Architecture 2/14/2011. Topics. Serial and Parallel Computation. Parallel Computing

Serial. Parallel. CIT 668: System Architecture 2/14/2011. Topics. Serial and Parallel Computation. Parallel Computing CIT 668: System Architecture Parallel Computing Topics 1. What is Parallel Computing? 2. Why use Parallel Computing? 3. Types of Parallelism 4. Amdahl s Law 5. Flynn s Taxonomy of Parallel Computers 6.

More information

Review: latency vs. throughput

Review: latency vs. throughput Lecture : Performance measurement and Instruction Set Architectures Last Time Introduction to performance Computer benchmarks Amdahl s law Today Take QUIZ 1 today over Chapter 1 Turn in your homework on

More information

This Unit: Putting It All Together. CIS 371 Computer Organization and Design. Sources. What is Computer Architecture?

This Unit: Putting It All Together. CIS 371 Computer Organization and Design. Sources. What is Computer Architecture? This Unit: Putting It All Together CIS 371 Computer Organization and Design Unit 15: Putting It All Together: Anatomy of the XBox 360 Game Console Application OS Compiler Firmware CPU I/O Memory Digital

More information

7/28/ Prentice-Hall, Inc Prentice-Hall, Inc Prentice-Hall, Inc Prentice-Hall, Inc Prentice-Hall, Inc.

7/28/ Prentice-Hall, Inc Prentice-Hall, Inc Prentice-Hall, Inc Prentice-Hall, Inc Prentice-Hall, Inc. Technology in Action Technology in Action Chapter 9 Behind the Scenes: A Closer Look a System Hardware Chapter Topics Computer switches Binary number system Inside the CPU Cache memory Types of RAM Computer

More information

Transistors and Wires

Transistors and Wires Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis Part II These slides are based on the slides provided by the publisher. The slides

More information

CHAPTER 1 Introduction

CHAPTER 1 Introduction CHAPTER 1 Introduction 1.1 Overview 1 1.2 The Main Components of a Computer 3 1.3 An Example System: Wading through the Jargon 4 1.4 Standards Organizations 15 1.5 Historical Development 16 1.5.1 Generation

More information

IT 252 Computer Organization and Architecture. Introduction. Chia-Chi Teng

IT 252 Computer Organization and Architecture. Introduction. Chia-Chi Teng IT 252 Computer Organization and Architecture Introduction Chia-Chi Teng What is computer architecture about? Computer architecture is the study of building computer systems. IT 252 is roughly split into

More information

CIT 668: System Architecture

CIT 668: System Architecture CIT 668: System Architecture Computer Systems Architecture I 1. System Components 2. Processor 3. Memory 4. Storage 5. Network 6. Operating System Topics Images courtesy of Majd F. Sakr or from Wikipedia

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

CPE/EE 421 Microcomputers

CPE/EE 421 Microcomputers CPE/EE 421 Microcomputers Instructor: Dr Aleksandar Milenkovic Lecture Notes S01 *Material used is in part developed by Dr. D. Raskovic and Dr. E. Jovanov CPE/EE 421/521 Microcomputers 1 CPE/EE 421 Microcomputers

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Implimentation of A 16-bit RISC Processor for Convolution Application

Implimentation of A 16-bit RISC Processor for Convolution Application Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 4, Number 5 (2014), pp. 441-446 Research India Publications http://www.ripublication.com/aeee.htm Implimentation of A 16-bit RISC

More information

Figure 1-1. A multilevel machine.

Figure 1-1. A multilevel machine. 1 INTRODUCTION 1 Level n Level 3 Level 2 Level 1 Virtual machine Mn, with machine language Ln Virtual machine M3, with machine language L3 Virtual machine M2, with machine language L2 Virtual machine M1,

More information

HISTORY OF MICROPROCESSORS

HISTORY OF MICROPROCESSORS HISTORY OF MICROPROCESSORS CONTENTS Introduction 4-Bit Microprocessors 8-Bit Microprocessors 16-Bit Microprocessors 1 32-Bit Microprocessors 64-Bit Microprocessors 2 INTRODUCTION Fairchild Semiconductors

More information

EECS Dept., University of California at Berkeley. Berkeley Wireless Research Center Tel: (510)

EECS Dept., University of California at Berkeley. Berkeley Wireless Research Center Tel: (510) A V Heterogeneous Reconfigurable Processor IC for Baseband Wireless Applications Hui Zhang, Vandana Prabhu, Varghese George, Marlene Wan, Martin Benes, Arthur Abnous, and Jan M. Rabaey EECS Dept., University

More information

1.13 Historical Perspectives and References

1.13 Historical Perspectives and References Case Studies and Exercises by Diana Franklin 61 Appendix H reviews VLIW hardware and software, which, in contrast, are less popular than when EPIC appeared on the scene just before the last edition. Appendix

More information

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors

A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors A Software LDPC Decoder Implemented on a Many-Core Array of Programmable Processors Brent Bohnenstiehl and Bevan Baas Department of Electrical and Computer Engineering University of California, Davis {bvbohnen,

More information

Chapter 1. The Computer Revolution

Chapter 1. The Computer Revolution Chapter 1 Baback Izadi Division of Engineering Programs bai@engr.newpaltz.edu The Computer Revolution Progress in computer technology Underpinned by Moore s Law Makes novel applications feasible Computers

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Number Representation 09212011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Recap Logic Circuits for Register Transfer

More information

UNIVERSITY OF MORATUWA CS2052 COMPUTER ARCHITECTURE. Time allowed: 2 Hours 10 min December 2018

UNIVERSITY OF MORATUWA CS2052 COMPUTER ARCHITECTURE. Time allowed: 2 Hours 10 min December 2018 Index No: UNIVERSITY OF MORATUWA Faculty of Engineering Department of Computer Science & Engineering B.Sc. Engineering 2017 Intake Semester 2 Examination CS2052 COMPUTER ARCHITECTURE Time allowed: 2 Hours

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

What is Good Performance. Benchmark at Home and Office. Benchmark at Home and Office. Program with 2 threads Home program.

What is Good Performance. Benchmark at Home and Office. Benchmark at Home and Office. Program with 2 threads Home program. Performance COMP375 Computer Architecture and dorganization What is Good Performance Which is the best performing jet? Airplane Passengers Range (mi) Speed (mph) Boeing 737-100 101 630 598 Boeing 747 470

More information

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy

Chapter 5B. Large and Fast: Exploiting Memory Hierarchy Chapter 5B Large and Fast: Exploiting Memory Hierarchy One Transistor Dynamic RAM 1-T DRAM Cell word access transistor V REF TiN top electrode (V REF ) Ta 2 O 5 dielectric bit Storage capacitor (FET gate,

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

Parallelism in Hardware

Parallelism in Hardware Parallelism in Hardware Minsoo Ryu Department of Computer Science and Engineering 2 1 Advent of Multicore Hardware 2 Multicore Processors 3 Amdahl s Law 4 Parallelism in Hardware 5 Q & A 2 3 Moore s Law

More information

The Von Neumann Computer Model

The Von Neumann Computer Model The Von Neumann Computer Model Partitioning of the computing engine into components: Central Processing Unit (CPU): Control Unit (instruction decode, sequencing of operations), Datapath (registers, arithmetic

More information

Multi-Core Microprocessor Chips: Motivation & Challenges

Multi-Core Microprocessor Chips: Motivation & Challenges Multi-Core Microprocessor Chips: Motivation & Challenges Dileep Bhandarkar, Ph. D. Architect at Large DEG Architecture & Planning Digital Enterprise Group Intel Corporation October 2005 Copyright 2005

More information

White Paper Assessing FPGA DSP Benchmarks at 40 nm

White Paper Assessing FPGA DSP Benchmarks at 40 nm White Paper Assessing FPGA DSP Benchmarks at 40 nm Introduction Benchmarking the performance of algorithms, devices, and programming methodologies is a well-worn topic among developers and research of

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

Performance. CS 3410 Computer System Organization & Programming. [K. Bala, A. Bracy, E. Sirer, and H. Weatherspoon]

Performance. CS 3410 Computer System Organization & Programming. [K. Bala, A. Bracy, E. Sirer, and H. Weatherspoon] Performance CS 3410 Computer System Organization & Programming [K. Bala, A. Bracy, E. Sirer, and H. Weatherspoon] Performance Complex question How fast is the processor? How fast your application runs?

More information