Software Patenting after Alice 2016 Update

Size: px
Start display at page:

Download "Software Patenting after Alice 2016 Update"

Transcription

1 Software Patenting after Alice 2016 Update Rong Xie, M.Sc., LL.M. August 5, /30

2 DISCLAIMER: The information presented here is not and should not be considered to be legal advice. The information here is not intended to create a lawyerclient relationship. When confronted with legal issues it is always the best practice to find someone who has the particular expertise necessary to provide meaningful advice. Information from this presentation should not be relied upon or used as a substitute for consultation with professional advisors. 2/30

3 Percent of all Actions with 101 Rejections Source: 3/30

4 Background Case Law 1. Mayo Collaborative Servs. v. Prometheus Labs., Inc., 182 L. Ed. 2d 321 (U.S. 2012) 2. Alice Corp. Pty. Ltd. v. CLS Bank Int'l, 134 S. Ct (U.S. 2014) USPTO Guidelines Preliminary Examination Instructions in view of Alice Interim Guidelines Abstract Ideas Examples Subject Matter Eligibility Update Subject Matter Eligibility Update 4/30

5 Post-Alice vs. CLS Bank How to make software invention patent eligible? Step 1: Is the claim directed to a process, machine, manufacture, or composition of matter? Step 2: Whether a claim that is directed to a judicial exception recites additional elements that amount to significantly more than the exception. 5/30

6 Step 2: Two-Part Analysis Step 2A: Is the claim directed to a law of nature, a natural phenomenon, or an abstract idea? If no, the claim is eligible and examination should continue for patentability If yes, proceed to Step 2B to analyze whether the claim as a whole amounts to significantly more than the exception. Step 2B: Determine whether any element, or combination of elements, in the claim is sufficient to ensure that the claim amounts to significantly more than the judicial exception. Consider the additional elements claimed with the exception, both individually AND as an ordered combination, to ensure that the claim describes a product or process that applies the exception in a meaningful way. 6/30

7 Examples of Abstract Ideas under Step 2A Including, without limitation 1. Fundamental economic practices 2. Certain methods of organizing human activities 3. An idea of itself 4. Mathematical relationships/formulas 7/30

8 Fundamental economic practices Creating a contractual relationship (buysafe) Hedging pricing risk (Bilski) Mitigating settlement risk (Alice Corp.) 8/30

9 Certain methods of organizing human activities Creating a contractual relationship (buysafe) Hedging (Bilski) Mitigating settlement risk (Alice Corp.) Processing loan information (Dealertrack) Managing an insurance policy (Bancorp) Managing a game of bingo (Planet Bingo) Generating rule based tasks for processing an insurance claim (Accenture) Tax free investing (Fort Properties) 9/30

10 An idea of itself Comparing information regarding a sample or test subject to a control or target data (Myriad) Collecting and comparing known information (Classen) Comparing data to determine a risk level (Perkin-Elmer) Diagnosing an abnormal condition by performing clinical tests and thinking about the results (In re Grams) Obtaining and comparing intangible data (Cybersource) Comparing new and stored information and using rules to identify options (SmartGene) Using categories to organize, store and transmit information (Cyberfone) Organizing information through mathematical correlations (Digitech) Displaying an advertisement in exchange for access to copyrighted media (Ultramercial) 10/30

11 Mathematical relationships/formulas An algorithm for converting binary coded decimal (BCD) to pure binary (Benson) A formula for computing an alarm limit (Flook) A formula describing certain electromagnetic standing wave phenomena (Mackay Radio) The Arrhenius equation (Diehr) A mathematical formula for hedging (Bilski) Managing a stable value protected life insurance policy by performing calculations and manipulating the results (Bancorp) Reducing the amount of calculations in known and established computations (FuzzySharp) An algorithm for determining the optimal number of visits by a business representative to a client (In re Maucorps) 11/30

12 Examples to overcome Step 2B 1. 对另一种技术或技术领域做出改进 ; 2. 对计算机本身的运行做出改进 ; 3. 使用一台特定的机器实施原本不具备专利申请资格的客体 ; 4. 实现某一特定物品向不同状态或事物的转变 ; 5. 添加在该领域非为人熟知, 例行及常规的限定因素, 或添加非常规的步骤, 其将权利要求的实施局限于某种特定且有用的应用 ; 6. 其他有意义的限定因素, 该因素超越不具备专利资格客体与特定的技术环境之间的一般联系 12/30

13 Percentage of All Actions with 101 Rejection Source: 13/30

14 Software and Business Methods at Court As of June 8, 2016 Source: 14/30

15 Case Laws for Discussion Enfish, LLC v. Microsoft Corp., 118 U.S.P.Q.2D (BNA) 1684 (Fed. Cir. 2016) DDR Holdings, LLC v. Hotels.com et al., 113 USPQ2d 1097 (Fed. Cir. 2014) 15/30

16 Enfish, LLC v. Microsoft Corp. A data storage and retrieval system for a computer memory, comprising: means for configuring said memory according to a logical table, said logical table including: a plurality of logical rows, each said logical row including an object identification number (OID) to identify each said logical row, each said logical row corresponding to a record of information; a plurality of logical columns intersecting said plurality of logical rows to define a plurality of logical cells, each said logical column including an OID to identify each said logical column; and means for indexing data stored in said table. 16/30

17 Relational Database Source: 17/30

18 Self-Referential Table 18/30

19 Enfish, LLC v. Microsoft Corp. Claims A data storage and retrieval system for a computer memory, comprising: means for configuring said memory according to a logical table, said logical table including: a plurality of logical rows, each said logical row including an object identification number (OID) to identify each said logical row, each said logical row corresponding to a record of information; a plurality of logical columns intersecting said plurality of logical rows to define a plurality of logical cells, each said logical column including an OID to identify each said logical column; and means for indexing data stored in said table. Step 2A In this case, however, the plain focus of the claims is on an improvement to computer functionality itself, not on economic or other tasks for which a computer is used in its ordinary capacity. [ ] Here, the claims are not simply directed to any form of storing tabular data, but instead are specifically directed to a self-referential table for a computer database. [ ] The specification also teaches that the selfreferential table functions differently than conventional database structures. The court noted that the invention improves upon prior art information search and retrieval systems by employing a flexible, self-referential table to store data. 19/30

20 Enfish, LLC v. Microsoft Corp. Holdings: invention's ability to run on a general-purpose computer does not dooms the claims the improvement is not defined by reference to "physical" components does not doom the claims Much of the advancement made in computer technology consists of improvements to software that, by their very nature, may not be defined by particular physical features but rather by logical structures and processes. This is not a pre-or-post-solution general-purpose computer components are added to a fundamental economic practice or mathematical equation. The claims are directed to a specific implementation of a solution to a problem in the software arts. 20/30

21 DDR Holdings, LLC v. Hotels.com et al. A system useful in an outsource provider serving web pages offering commercial opportunities, the system comprising: (a) a computer store containing data, for each of a plurality of first web pages, defining a plurality of visually perceptible elements, which visually perceptible elements correspond to the plurality of first web pages; (i) wherein each of the first web pages belongs to one of a plurality of web page owners; (ii) wherein each of the first web pages displays at least one active link associated with a commerce object associated with a buying opportunity of a selected one of a plurality of merchants; and (iii) wherein the selected merchant, the outsource provider, and the owner of the first web page displaying the associated link are each third parties with respect to one other; (b) a computer server at the outsource provider, which computer server is coupled to the computer store and programmed to: (i) receive from the web browser of a computer user a signal indicating activation of one of the links displayed by one of the first web pages; (ii) automatically identify as the source page the one of the first web pages on which the link has been activated; (iii) in response to identification of the source page, automatically retrieve the stored data corresponding to the source page; and (iv) using the data retrieved, automatically generate and transmit to the web browser a second web page that displays: (A) information associated with the commerce object associated with the link that has been activated, and (B) the plurality of visually perceptible elements visually corresponding to the source page. 21/30

22 DDR Holdings, LLC v. Hotels.com et al. Claims A system useful in an outsource provider serving web pages offering commercial opportunities, the system comprising: a)a computer store containing data, for each of a plurality of first web pages, defining a plurality of visually perceptible elements, which visually perceptible elements correspond to the plurality of first web pages wherein[ ]; b)a computer server at the outsource provider [ ] programmed to [ ] using the data retrieved, automatically generate and transmit to the web browser a second web page that displays: (A) information associated with the commerce object associated with the link that has been activated, and (B) the plurality of visually perceptible elements visually corresponding to the source page; Step 2A The claim addresses a business challenge (retaining website visitors) that is particular to the Internet. [ ] it does not merely recite the performance of some business practice known from the pre-internet world along with the requirement to perform it on the Internet. Instead, the claimed solution is necessarily rooted in computer technology in order to overcome a problem specifically arising in the realm of computer networks. 22/30

23 DDR Holdings, LLC v. Hotels.com et al. Claims A system useful in an outsource provider serving web pages offering commercial opportunities, the system comprising: a)a computer store containing data, for each of a plurality of first web pages, defining a plurality of visually perceptible elements, which visually perceptible elements correspond to the plurality of first web pages wherein[ ]; b)a computer server at the outsource provider [ ] programmed to [ ] using the data retrieved, automatically generate and transmit to the web browser a second web page that displays: (A) information associated with the commerce object associated with the link that has been activated, and (B) the plurality of visually perceptible elements visually corresponding to the source page; Step 2B The claims here specify how interactions with the Internet are manipulated to yield a result that is not routine or conventional, contrary to events ordinarily triggered by the click of a hyperlink. 23/30

24 Observations 2A Computer-rooted technologies/improvements are not abstract ideas: Isolating and Removing Malicious Code from Electronic Messages (internet-centric challenge/computer-related technology) E-Commerce Outsourcing System/Generating a Composite Web Page (internet-centric challenge) Constructing a self-referential database (computer-related technology) GUI for relocating obscured textual information (computer-related technology) Claims directed to such improvements should be patent eligible under Step 2A. 24/30

25 Observations 2B Improvement to another technology or technical field Half-toning gray scale image, digital image processing (RCT) Calculating absolute position for GPS (SiRF) Controlling synthetic rubber curing process by computer (Diehr) Improvements to the functioning of the computer itself Half-toning gray scale image, digital Image processing (RCT) GUI for relocating obscured textual information (SiRF) Applying the judicial exception with, or by use of, a particular machine Robotic Arm Assembly Internal combustion engine with a computerized control system 25/30

26 Observations 2B (Continued) Effecting a transformation or reduction of a particular article to a different state or thing Half-toning gray scale image, digital image processing (RCT) Controlling synthetic rubber curing process by computer (Diehr) Other meaningful limitations beyond generally linking the use of an abstract idea to a particular technological environment Half-toning gray scale image, digital image processing (RCT) Calculating absolute position for GPS (SiRF) Controlling synthetic rubber curing process by computer (Diehr) 26/30

27 Percent of all Actions with 101 Rejections in other Tech Centers Source: 27/30

28 So our conclusions are When drafting claims 1. Machine-or-transformation test is still useful tool. A machine (robotic arm/internal combustion engine) with computer components (e.g., a control system) - eligible under streamline analysis. 2. Emphasize the improvement is rooted in computer technology (Step 2A). 3. Emphasize improvement to another technology or field (Step 2B). 4. Limit the application of the method to particular technological environment and emphasize transformation of the result (Step 2B). 5. Recite at least one inventive clause that provides a technical solution to a technical problem and the result of combining prior art structures/steps is not normal, expected, or predictable (Step 2B, hopefully). 28/30

29 Software Patenting after Alice 2016 Update Questions? 29/30

30 Software Patenting after Alice 2016 Update THANK YOU!!! Law Offices of Albert Wai-Kit Chan, PLLC World Plaza, Suite th Ave. Whitestone, NY (718) DISCLAIMER: The information presented here is not and should not be considered to be legal advice. The information here is not intended to create a lawyer-client relationship. When confronted with legal issues it is always the best practice to find someone who has the particular expertise necessary to provide meaningful advice. Information from this presentation should not be relied upon or used as a substitute for consultation with professional advisors. 30/30

Software Patent Eligibility - Interim Eligibility Guidance and July Update

Software Patent Eligibility - Interim Eligibility Guidance and July Update Software Patent Eligibility - Interim Eligibility Guidance and July Update Matthew Sked Legal Policy Advisor Office of Patent Legal Administration Old Dominion University December 1, 2015 35 U.S.C. 101

More information

Tracing the History of Patent Eligibility Doctrine

Tracing the History of Patent Eligibility Doctrine Panel: Navigating, Litigating, and Even Avoiding Eligible Subject Matter Questions Advanced Patent Law Institute Dec. 10, 2015 Tracing the History of Patent Eligibility Doctrine Peter S. Menell Koret Professor

More information

Please find below and/or attached an Office communication concerning this application or proceeding.

Please find below and/or attached an Office communication concerning this application or proceeding. United States Patent and Trademark Office UNITED STATES DEPARTMENT OF COMMERCE United States Patent and Trademark Office Address: COMMISSIONER FOR PATENTS P.O.Box 1450 Alexandria, Virginia 22313-1450 www.uspto.gov

More information

DANCING WITH ALICE ~ A PROSECUTOR S TOOLKIT

DANCING WITH ALICE ~ A PROSECUTOR S TOOLKIT DANCING WITH ALICE ~ A PROSECUTOR S TOOLKIT Jim Babineau Fish & Richardson P.C. MARCH 25, 2015 AUSTIN IPLA Outline Putting Alice in Perspective Post-Alice Potpourri Interim Examination Guidelines (Dec.

More information

Understanding IO patterns of SSDs

Understanding IO patterns of SSDs 固态硬盘 I/O 特性测试 周大 众所周知, 固态硬盘是一种由闪存作为存储介质的数据库存储设备 由于闪存和磁盘之间物理特性的巨大差异, 现有的各种软件系统无法直接使用闪存芯片 为了提供对现有软件系统的支持, 往往在闪存之上添加一个闪存转换层来实现此目的 固态硬盘就是在闪存上附加了闪存转换层从而提供和磁盘相同的访问接口的存储设备 一方面, 闪存本身具有独特的访问特性 另外一方面, 闪存转换层内置大量的算法来实现闪存和磁盘访问接口之间的转换

More information

实验三十三 DEIGRP 的配置 一 实验目的 二 应用环境 三 实验设备 四 实验拓扑 五 实验要求 六 实验步骤 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程

实验三十三 DEIGRP 的配置 一 实验目的 二 应用环境 三 实验设备 四 实验拓扑 五 实验要求 六 实验步骤 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程 实验三十三 DEIGRP 的配置 一 实验目的 1. 掌握 DEIGRP 的配置方法 2. 理解 DEIGRP 协议的工作过程 二 应用环境 由于 RIP 协议的诸多问题, 神州数码开发了与 EIGRP 完全兼容的 DEIGRP, 支持变长子网 掩码 路由选择参考更多因素, 如带宽等等 三 实验设备 1. DCR-1751 三台 2. CR-V35FC 一条 3. CR-V35MT 一条 四 实验拓扑

More information

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition

Chapter 7: Deadlocks. Operating System Concepts 9 th Edition Chapter 7: Deadlocks Silberschatz, Galvin and Gagne 2013 Chapter Objectives To develop a description of deadlocks, which prevent sets of concurrent processes from completing their tasks To present a number

More information

N e t w o r k V i d e o R e c o r d e r N V R - Q 6 7 S NVR-Q67S

N e t w o r k V i d e o R e c o r d e r N V R - Q 6 7 S NVR-Q67S Network Video Recorder Hot-Swappable HDD Tray x 8 2.5 HDD x 1 Gigabit Ethernet x 2 COM x 2, USB2.0 x 4 VGA x 1, DVI-D x 1 Display Port x 1 Manual 1st Ed. July 2013 Copyright Notice This document is copyrighted,

More information

Logitech G302 Daedalus Prime Setup Guide 设置指南

Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Setup Guide 设置指南 Logitech G302 Daedalus Prime Contents / 目录 English................. 3 简体中文................. 6 2 Logitech G302 Daedalus Prime 1 On 2 USB Your Daedalus Prime

More information

Notice. Safety Precautions. This guide is designed for experienced users to setup the system in the shortest time.

Notice. Safety Precautions. This guide is designed for experienced users to setup the system in the shortest time. Notice This guide is designed for experienced users to setup the system in the shortest time. Safety Precautions Always completely disconnect the power cord from your board whenever you are working on

More information

Declaration of Conformity STANDARD 100 by OEKO TEX

Declaration of Conformity STANDARD 100 by OEKO TEX Declaration of Conformity STANDARD 100 by OEKO TEX OEKO-TEX - International Association for Research and Testing in the Field of Textile and Leather Ecology OEKO-TEX - 国际纺织和皮革生态学研究和检测协会 Declaration of

More information

Chapter 1 (Part 2) Introduction to Operating System

Chapter 1 (Part 2) Introduction to Operating System Chapter 1 (Part 2) Introduction to Operating System 张竞慧办公室 : 计算机楼 366 室电邮 :jhzhang@seu.edu.cn 主页 :http://cse.seu.edu.cn/personalpage/zjh/ 电话 :025-52091017 1.1 Computer System Components 1. Hardware provides

More information

计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法. 授课老师 : 王浩宇

计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法. 授课老师 : 王浩宇 计算机组成原理第二讲 第二章 : 运算方法和运算器 数据与文字的表示方法 (1) 整数的表示方法 授课老师 : 王浩宇 haoyuwang@bupt.edu.cn 1 Today: Bits, Bytes, and Integers Representing information as bits Bit-level manipulations Integers Representation: unsigned

More information

Bi-monthly report. Tianyi Luo

Bi-monthly report. Tianyi Luo Bi-monthly report Tianyi Luo 1 Work done in this week Write a crawler plus based on keywords (Support Chinese and English) Modify a Sina weibo crawler (340M/day) Offline learning to rank module is completed

More information

China Next Generation Internet (CNGI) project and its impact. MA Yan Beijing University of Posts and Telecommunications 2009/08/06.

China Next Generation Internet (CNGI) project and its impact. MA Yan Beijing University of Posts and Telecommunications 2009/08/06. China Next Generation Internet (CNGI) project and its impact MA Yan Beijing University of Posts and Telecommunications 2009/08/06 Outline Next Generation Internet CNGI project in general CNGI-CERNET2 CERNET2

More information

United States Court of Appeals for the Federal Circuit

United States Court of Appeals for the Federal Circuit United States Court of Appeals for the Federal Circuit BSG TECH LLC, Plaintiff-Appellant v. BUYSEASONS, INC., Defendant-Appellee RAKUTEN COMMERCE, LLC, Defendant 2017-1980 Appeal from the United States

More information

组播路由 - MSDP 和 PIM 通过走

组播路由 - MSDP 和 PIM 通过走 组播路由 - MSDP 和 PIM 通过走 Contents Introduction 拓扑控制 - 飞机来源注册 ( 步骤 1-3) 接受器参加组 ( 第 4 步 - 第 11 步 ) R4 PIM RP 修剪 (S, G) 步骤 12 摘要 Related Information Introduction 本文描述独立于协议的组播 (PIM) 和多播源发现协议 (MSDP) 的操作与使用一简单的组播拓扑

More information

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet

Previous on Computer Networks Class 18. ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet ICMP: Internet Control Message Protocol IP Protocol Actually a IP packet 前 4 个字节都是一样的 0 8 16 31 类型代码检验和 ( 这 4 个字节取决于 ICMP 报文的类型 ) ICMP 的数据部分 ( 长度取决于类型 ) ICMP 报文 首部 数据部分 IP 数据报 ICMP: Internet Control Message

More information

CHINA VISA APPLICATION CONCIERGE SERVICE*

CHINA VISA APPLICATION CONCIERGE SERVICE* TRAVEL VISA PRO ORDER FORM Call us for assistance 866-378-1722 Fax 866-511-7599 www.travelvisapro.com info@travelvisapro.com CHINA VISA APPLICATION CONCIERGE SERVICE* Travel Visa Pro will review your documents

More information

SNMP Web Manager. User s Manual

SNMP Web Manager. User s Manual SNMP Web Manager User s Manual Table of Contents 1. Introduction... 2 2. SNMP Web Manager Install, Quick Start and Uninstall... 2 2.1. Software Installation... 3 2.2. Software Quick Start... 6 2.3. Software

More information

Intel RealSense Tracking Camera

Intel RealSense Tracking Camera Intel RealSense Tracking Camera Datasheet Intel RealSense Tracking Camera T265 January 2019 Revision 001 Document Number: 572522-001 Description and Features You may not use or facilitate the use of this

More information

Declaration of Compliance for Elo Surface Acoustic Wave Touchscreens

Declaration of Compliance for Elo Surface Acoustic Wave Touchscreens Declaration of Compliance for Elo Surface Acoustic Wave Touchscreens Date: December 1, 2016 Product: Surface Acoustic Wave Touchscreens See attached list of applicable part numbers Elo Touch Solutions

More information

ZWO 相机固件升级参考手册. ZWO Camera Firmware Upgrade reference manual. 版权所有 c 苏州市振旺光电有限公司 保留一切权利 非经本公司许可, 任何组织和个人不得擅自摘抄 复制本文档内容的部分或者全部, 并

ZWO 相机固件升级参考手册. ZWO Camera Firmware Upgrade reference manual. 版权所有 c 苏州市振旺光电有限公司 保留一切权利 非经本公司许可, 任何组织和个人不得擅自摘抄 复制本文档内容的部分或者全部, 并 ZWO 相机固件升级参考手册 ZWO Camera Firmware Upgrade reference manual 文档编号 :ZW1802240ACSC ZWO Co., Ltd. Phone:+86 512 65923102 Web: http://www.zwoptical.com 版权所有 c 苏州市振旺光电有限公司 2015-2035 保留一切权利 非经本公司许可, 任何组织和个人不得擅自摘抄

More information

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容

ICP Enablon User Manual Factory ICP Enablon 用户手册 工厂 Version th Jul 2012 版本 年 7 月 16 日. Content 内容 Content 内容 A1 A2 A3 A4 A5 A6 A7 A8 A9 Login via ICTI CARE Website 通过 ICTI 关爱网站登录 Completing the Application Form 填写申请表 Application Form Created 创建的申请表 Receive Acknowledgement Email 接收确认电子邮件 Receive User

More information

三 依赖注入 (dependency injection) 的学习

三 依赖注入 (dependency injection) 的学习 三 依赖注入 (dependency injection) 的学习 EJB 3.0, 提供了一个简单的和优雅的方法来解藕服务对象和资源 使用 @EJB 注释, 可以将 EJB 存根对象注入到任何 EJB 3.0 容器管理的 POJO 中 如果注释用在一个属性变量上, 容器将会在它被第一次访问之前赋值给它 在 Jboss 下一版本中 @EJB 注释从 javax.annotation 包移到了 javax.ejb

More information

Installation Guide Universal Wireless-n Adapter GWU627 PART NO. M1161

Installation Guide Universal Wireless-n Adapter GWU627 PART NO. M1161 Installation Guide Universal Wireless-n Adapter GWU627 PART NO. M1161 Table of Contents Package Contents 4 System Requirements 5 Product Overview 6 Installation 8 Installation without WPS - Windows XP

More information

Strategies for Patenting Softwarebased Inventions in the U.S.

Strategies for Patenting Softwarebased Inventions in the U.S. Strategies for Patenting Softwarebased Inventions in the U.S. Presented by: Tonya Drake ~ Fish & Richardson P.C. (617) 956-5986 drake@fr.com Six Practical Tips to Effective Software Patents 1. Include

More information

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** *

Oracle 一体化创新云技术 助力智慧政府信息化战略. Copyright* *2014*Oracle*and/or*its*affiliates.*All*rights*reserved.** * Oracle 一体化创新云技术 助力智慧政府信息化战略 ?* x * Exadata Exadata* * * Exadata* InfiniBand 0Gbits/S 5?10 * Exadata* * Exadata& & Oracle exadata! " 4 " 240 12! "!! " " " Exadata* Exadata & Single?Instance*Database*

More information

Operation Manual PIA5100. Checking the Package Contents. About this Manual. Features. GPIB Converter. Accessories. Notations used in this manual

Operation Manual PIA5100. Checking the Package Contents. About this Manual. Features. GPIB Converter. Accessories. Notations used in this manual Operation Manual GPIB Converter PIA500 PART NO. Z-006-0, IB0955 Jan. 08 Checking the Package Contents When you receive the product, check that all accessories are included and that the accessories have

More information

LV 7290 REMOTE CONTROLLER INSTRUCTION MANUAL

LV 7290 REMOTE CONTROLLER INSTRUCTION MANUAL LV 7290 REMOTE CONTROLLER INSTRUCTION MANUAL TABLE OF CONTENTS GENERAL SAFETY SUMMARY... I 1. INTRODUCTION... 1 1.1 Scope of Warranty... 1 1.2 Operating Precautions... 2 1.2.1 Power Supply Voltage... 2

More information

上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分

上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分 上汽通用汽车供应商门户网站项目 (SGMSP) User Guide 用户手册 上汽通用汽车有限公司 2014 上汽通用汽车有限公司未经授权, 不得以任何形式使用本文档所包括的任何部分 SGM IT < 上汽通用汽车供应商门户网站项目 (SGMSP)> 工作产品名称 :< User Guide 用户手册 > Current Version: Owner: < 曹昌晔 > Date Created:

More information

Paper No Filed: March 16, 2016 UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE PATENT TRIAL AND APPEAL BOARD

Paper No Filed: March 16, 2016 UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE PATENT TRIAL AND APPEAL BOARD Trials@uspto.gov Paper No. 44 571-272-7822 Filed: March 16, 2016 UNITED STATES PATENT AND TRADEMARK OFFICE BEFORE THE PATENT TRIAL AND APPEAL BOARD VIGLINK, INC., and SKIMLINKS, INC. and SKIMBIT, LTD.,

More information

United States Court of Appeals for the Federal Circuit

United States Court of Appeals for the Federal Circuit NOTE: This disposition is nonprecedential. United States Court of Appeals for the Federal Circuit FUZZYSHARP TECHNOLOGIES INCORPORATED, Plaintiff-Appellant, v. 3DLABS INC., LTD., Defendant-Appellee. 2010-1160

More information

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1

Build a Key Value Flash Disk Based Storage System. Flash Memory Summit 2017 Santa Clara, CA 1 Build a Key Value Flash Disk Based Storage System Flash Memory Summit 2017 Santa Clara, CA 1 Outline Ø Introduction,What s Key Value Disk Ø A Evolution to Key Value Flash Disk Based Storage System Ø Three

More information

如何查看 Cache Engine 缓存中有哪些网站 /URL

如何查看 Cache Engine 缓存中有哪些网站 /URL 如何查看 Cache Engine 缓存中有哪些网站 /URL 目录 简介 硬件与软件版本 处理日志 验证配置 相关信息 简介 本文解释如何设置处理日志记录什么网站 /URL 在 Cache Engine 被缓存 硬件与软件版本 使用这些硬件和软件版本, 此配置开发并且测试了 : Hardware:Cisco 缓存引擎 500 系列和 73xx 软件 :Cisco Cache 软件版本 2.3.0

More information

软件和支持订订 1.1 订阅单位定义 订阅服务费用以称为 单位 的计量标准为依据 下表 1.1 定义了用于计量贵方使用的软件订阅的数量的各种单位 在贵方购买行为所适用的订单中以及在附件中包含了各种软件订阅所适用的具体单位

软件和支持订订 1.1 订阅单位定义 订阅服务费用以称为 单位 的计量标准为依据 下表 1.1 定义了用于计量贵方使用的软件订阅的数量的各种单位 在贵方购买行为所适用的订单中以及在附件中包含了各种软件订阅所适用的具体单位 PRODUCT APPENDIX 1 SOFTWARE AND SUPPORT SUBSCRIPTIONS 软件和支持订订 This Product Appendix (which includes Exhibits applicable to specific Red Hat Products) contains terms that describe the parameters and govern

More information

NyearBluetoothPrint SDK. Development Document--Android

NyearBluetoothPrint SDK. Development Document--Android NyearBluetoothPrint SDK Development Document--Android (v0.98) 2018/09/03 --Continuous update-- I Catalogue 1. Introduction:... 3 2. Relevant knowledge... 4 3. Direction for use... 4 3.1 SDK Import... 4

More information

Command Dictionary CUSTOM

Command Dictionary CUSTOM 命令模式 CUSTOM [(filename)] [parameters] Executes a "custom-designed" command which has been provided by special programming using the GHS Programming Interface. 通过 GHS 程序接口, 执行一个 用户设计 的命令, 该命令由其他特殊程序提供 参数说明

More information

Spark Standalone 模式应用程序开发 Spark 大数据博客 -

Spark Standalone 模式应用程序开发 Spark 大数据博客 - 在本博客的 Spark 快速入门指南 (Quick Start Spark) 文章中简单地介绍了如何通过 Spark s hell 来快速地运用 API 本文将介绍如何快速地利用 Spark 提供的 API 开发 Standalone 模式的应用程序 Spark 支持三种程序语言的开发 :Scala ( 利用 SBT 进行编译 ), Java ( 利用 Maven 进行编译 ) 以及 Python

More information

DECLARATION OF CONFORMITY

DECLARATION OF CONFORMITY DECLARATION OF CONFORMITY Manufacturer/Supplier: Name of Equipment: Type of Equipment: Class of Equipment: Sentinel Hardware Keys, Sentinel Dual Hardware Keys, Meter Key (Refer to Annex I for detailed

More information

Triangle - Delaunay Triangulator

Triangle - Delaunay Triangulator Triangle - Delaunay Triangulator eryar@163.com Abstract. Triangle is a 2D quality mesh generator and Delaunay triangulator. Triangle was created as part of the Quake project in the school of Computer Science

More information

A Benchmark For Stroke Extraction of Chinese Characters

A Benchmark For Stroke Extraction of Chinese Characters 2015-09-29 13:04:51 http://www.cnki.net/kcms/detail/11.2442.n.20150929.1304.006.html 北京大学学报 ( 自然科学版 ) Acta Scientiarum Naturalium Universitatis Pekinensis doi: 10.13209/j.0479-8023.2016.025 A Benchmark

More information

XPS 8920 Setup and Specifications

XPS 8920 Setup and Specifications XPS 8920 Setup and Specifications 计算机型号 : XPS 8920 管制型号 : D24M 管制类型 : D24M001 注 小心和警告 注 : 注 表示帮助您更好地使用该产品的重要信息 小心 : 小心 表示可能会损坏硬件或导致数据丢失, 并说明如何避免此类问题 警告 : 警告 表示可能会造成财产损失 人身伤害甚至死亡 版权所有 2017 Dell Inc. 或其附属公司

More information

UPS-GWS01. Gateway System for UP-APL01. User s Manual 1 st Ed

UPS-GWS01. Gateway System for UP-APL01. User s Manual 1 st Ed UPS-GWS01 Gateway System for UP-APL01 User s Manual 1 st Ed Last Updated: October 13, 2017 Copyright Notice This document is copyrighted, 2017. All rights are reserved. The original manufacturer reserves

More information

操作系统原理与设计. 第 13 章 IO Systems(IO 管理 ) 陈香兰 2009 年 09 月 01 日 中国科学技术大学计算机学院

操作系统原理与设计. 第 13 章 IO Systems(IO 管理 ) 陈香兰 2009 年 09 月 01 日 中国科学技术大学计算机学院 第 13 章 IO Systems(IO 管理 ) 中国科学技术大学计算机学院 2009 年 09 月 01 日 提纲 I/O Hardware 1 I/O Hardware Polling Interrupts Direct Memory Access (DMA) I/O hardware summary 2 Block and Character Devices Network Devices

More information

<properties> <jdk.version>1.8</jdk.version> <project.build.sourceencoding>utf-8</project.build.sourceencoding> </properties>

<properties> <jdk.version>1.8</jdk.version> <project.build.sourceencoding>utf-8</project.build.sourceencoding> </properties> SpringBoot 的基本操作 一 基本概念在 spring 没有出现的时候, 我们更多的是使用的 Spring,SpringMVC,Mybatis 等开发框架, 但是要将这些框架整合到 web 项目中需要做大量的配置,applicationContext.xml 以及 servlet- MVC.xml 文件等等, 但是这些文件还还不够, 还需要配置 web.xml 文件进行一系列的配置 以上操作是比较麻烦的,

More information

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图

PCU50 的整盘备份. 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 光标条停在 SINUMERIK 下方的空白处, 如下图, 按回车键 PCU50 会进入到服务画面, 如下图 PCU50 的整盘备份 本文只针对操作系统为 Windows XP 版本的 PCU50 PCU50 启动硬件自检完后, 出现下面文字时, 按向下光标键 OS Loader V4.00 Please select the operating system to start: SINUMERIK Use and to move the highlight to your choice. Press Enter

More information

Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016

Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016 Smart Services Lucy Huo (Senior Consultant, UNITY Business Consulting) April 27, 2016 42 = Average Age of a Company According to Christensen, well-established companies are not capable of change in face

More information

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架

1. DWR 1.1 DWR 基础 概念 使用使用 DWR 的步骤. 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 1. DWR 1.1 DWR 基础 1.1.1 概念 1 什么是 DWR? Direct Web Remote, 直接 Web 远程 是一个 Ajax 的框架 2 作用 使用 DWR, 可以直接在 html 网页中调用 Java 对象的方法 ( 通过 JS 和 Ajax) 3 基本原理主要技术基础是 :AJAX+ 反射 1) JS 通过 AJAX 发出请求, 目标地址为 /dwr/*, 被 DWRServlet(

More information

AHP Onboard Intel Atom D GHz Processor. Touch Panel PC. With 15 TFT LCD

AHP Onboard Intel Atom D GHz Processor. Touch Panel PC. With 15 TFT LCD Onboard Intel Atom D510 1.66 GHz Processor Touch Panel PC With 15 TFT LCD Manual 1st Ed. November 2010 Copyright Notice This document is copyrighted, 2010. All rights are reserved. The original manufacturer

More information

畅联科技锁掌柜开放接口 - Android 版本 用户手册

畅联科技锁掌柜开放接口 - Android 版本 用户手册 畅联科技锁掌柜开放接口 - Android 版本 用户手册 2018-08-08 一 引入到项目 配置 Android Manifest.xml, 添加权限

More information

The relational Algebra & calculus cont. Reference : UC Berkeley

The relational Algebra & calculus cont. Reference : UC Berkeley The relational Algebra & calculus cont Reference : UC Berkeley Formal Relational Query Languages Two mathematical Query Languages form the basis for real languages (e.g. SQL), and for implementation: ❶

More information

Contents. Check List. Front View. Rear View. Bottom View. How to match with CMA400i. Current Mode Amplification Technology

Contents. Check List. Front View. Rear View. Bottom View. How to match with CMA400i. Current Mode Amplification Technology Contents Check List... 03 Front View... 04 Rear View... 05... 06 Bottom View How to match with CMA400i... 07 Current Mode Amplification Technology... 10 PC System Requirements... 11 Install CMA400i Driver

More information

Support for Title 21 CFR Part 11 and Annex 11 compliance: Agilent OpenLAB CDS version 2.1

Support for Title 21 CFR Part 11 and Annex 11 compliance: Agilent OpenLAB CDS version 2.1 Support for Title 21 CFR and compliance: Agilent OpenLAB CDS version 2.1 Whitepaper Overview US FDA in Title 21 of the Code of Federal Regulations (CFR), and its EU analog, Eudralex Chapter 4,, describe

More information

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知

H3C CAS 虚拟机支持的操作系统列表. Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 H3C CAS 虚拟机支持的操作系统列表 Copyright 2016 杭州华三通信技术有限公司版权所有, 保留一切权利 非经本公司书面许可, 任何单位和个人不得擅自摘抄 复制本文档内容的部分或全部, 并不得以任何形式传播 本文档中的信息可能变动, 恕不另行通知 目录 1 Windows 1 2 Linux 1 2.1 CentOS 1 2.2 Fedora 2 2.3 RedHat Enterprise

More information

1 Quick Installation Guide

1 Quick Installation Guide Chapter 1 Quick Installation Guide ECB-920A Quick Installation Guide Rev.A 2 nd Ed. July 17, 2014 Chapter 1 Quick Installation Guide 1-1 1.1 Safety Precaution Always completely disconnect the power cord

More information

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司

Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 Silverlight 3 概览 俞晖市场推广经理微软 ( 中国 ) 有限公司 business opportunity 越来越丰富 MTV.COM (1996) CSS FLASH 4.0 AJAX HTML 3.2 DHTML SSL 3.0 FLASH 1.0 REAL AUDIO HTML 1.0 MTV.COM (2008) Silverlight 概览 跨浏览器 IE, Safari,

More information

Apache Kafka 源码编译 Spark 大数据博客 -

Apache Kafka 源码编译 Spark 大数据博客 - 经过近一个月时间, 终于差不多将之前在 Flume 0.9.4 上面编写的 source sink 等插件迁移到 Flume-ng 1.5.0, 包括了将 Flume 0.9.4 上面的 TailSou rce TailDirSource 等插件的迁移 ( 当然, 我们加入了许多新的功能, 比如故障恢复 日志的断点续传 按块发送日志以及每个一定的时间轮询发送日志而不是等一个日志发送完才发送另外一个日志

More information

测试 SFTP 的 问题在归档配置页的 MediaSense

测试 SFTP 的 问题在归档配置页的 MediaSense 测试 SFTP 的 问题在归档配置页的 MediaSense Contents Introduction Prerequisites Requirements Components Used 问题 : 测试 SFTP 按钮发生故障由于 SSH 算法协商故障解决方案 Bug Reled Informion Introduction 本文描述如何解决可能发生的安全壳 SSH 算法协商故障, 当您配置一个安全文件传输协议

More information

Multiprotocol Label Switching The future of IP Backbone Technology

Multiprotocol Label Switching The future of IP Backbone Technology Multiprotocol Label Switching The future of IP Backbone Technology Computer Network Architecture For Postgraduates Chen Zhenxiang School of Information Science and Technology. University of Jinan (c) Chen

More information

User Manual Universal Wireless-n Adapter

User Manual Universal Wireless-n Adapter User Manual Universal Wireless-n Adapter GWU627 PART NO. M1161-b www.iogear.com 2018 IOGEAR Part No. M1161-b IOGEAR, the IOGEAR logo, are trademarks or registered trademarks of IOGEAR. Microsoft and Windows

More information

Chap1 Introduction. Outline. An Example System. 1.1 Overview. Computer organization and architecture. Computer organization and architecture

Chap1 Introduction. Outline. An Example System. 1.1 Overview. Computer organization and architecture. Computer organization and architecture Computer Architecture Chap Introduction Zheng Qinghua CS Department of XJTU Outline Overview What s the Computer Architecture Classification of Computer Architecture Quantitative Design Principles Evaluation

More information

AHP Onboard Intel Atom D GHz Processor. Touch Panel PC. With 12.1 TFT LCD

AHP Onboard Intel Atom D GHz Processor. Touch Panel PC. With 12.1 TFT LCD Onboard Intel Atom D525 1.8 GHz Processor Touch Panel PC With 12.1 TFT LCD Manual 1st Ed. December 2011 Copyright Notice This document is copyrighted, 2011. All rights are reserved. The original manufacturer

More information

POSEIDON 1. User Manual V

POSEIDON 1. User Manual V POSEIDON 1 User Manual V1.0 2017.7 Catalog: Using tips Packing list 3 Download address 3 Product Profile Introduction 4 Key features 4 Drone 4 Buoy 4 Charger and remote controller 4 How to Poseidon 1

More information

Installing Cisco TelePresence MX300 G2 - Floor Stand

Installing Cisco TelePresence MX300 G2 - Floor Stand This installation guide covers MX300 G2 with a floor stand. Dimensions Height: 1521 mm / 59.9 in. Width: 1278 mm / 50.4 in. Depth: 671 mm / 26.5 in. Weight total: 53 kg / 117 lb Weight monitor: 35 kg /

More information

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub

第二小题 : 逻辑隔离 (10 分 ) OpenFlow Switch1 (PC-A/Netfpga) OpenFlow Switch2 (PC-B/Netfpga) ServerB PC-2. Switching Hub 第二小题 : 逻辑隔离 (10 分 ) 一 实验背景云平台服务器上的不同虚拟服务器, 分属于不同的用户 用户远程登录自己的虚拟服务器之后, 安全上不允许直接访问同一局域网的其他虚拟服务器 二 实验目的搭建简单网络, 通过逻辑隔离的方法, 实现用户能远程登录局域网内自己的虚拟内服务器, 同时不允许直接访问同一局域网的其他虚拟服务器 三 实验环境搭建如图 1-1 所示, 我们会创建一个基于 OpenFlow

More information

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院

智能终端与物联网应用 课程建设与实践. 邝坚 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 智能终端与物联网应用 课程建设与实践 邝坚 jkuang@bupt.edu.cn 嵌入式系统与网络通信研究中心北京邮电大学计算机学院 定位 移动互联网 服务 安 理解 云计算 服务计算 可信 全 交换感知 嵌入式计算 计算 现状与趋势 p 移动互联网发展迅猛 第 27 次中国互联网络发展状况统计报告 (CNNIC) 指出截至 2010 年 12 月, 中国互联网用户数已达到 4.57 亿, 其中移动互联网网民数已达

More information

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心

测试基础架构 演进之路. 茹炳晟 (Robin Ru) ebay 中国研发中心 测试基础架构 演进之路 茹炳晟 (Robin Ru) ebay 中国研发中心 茹炳晟 (Robin Ru) 主要工作经历 : ebay 中国研发中心 -- 测试基础架构技术主管 Hewlett-Packard 惠普软件 ( 中国 ) 研发中心 -- 测试架构师 资深测试专家 Alcatel-Lucent 阿尔卡特朗讯 ( 上海 ) 研发中心 -- 测试技术主管 Cisco 思科 ( 中国 ) 研发中心

More information

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司

Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 Microsoft RemoteFX: USB 和设备重定向 姓名 : 张天民 职务 : 高级讲师 公司 : 东方瑞通 ( 北京 ) 咨询服务有限公司 RemoteFX 中新的 USB 重定向特性 在 RDS 中所有设备重定向机制 VDI 部署场景讨论 : 瘦客户端和胖客户端 (Thin&Rich). 用户体验 : 演示使用新的 USB 重定向功能 81% 4 本地和远程的一致的体验 (Close

More information

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology

计算机科学与技术专业本科培养计划. Undergraduate Program for Specialty in Computer Science & Technology 计算机科学与技术学院 计算机科学与技术学院下设 6 个研究所 : 计算科学理论研究所 数据工程研究所 并行分布式计算研究所 数据存储研究所 数字媒体研究所 信息安全研究所 ;2 个中心 : 嵌入式软件与系统工程中心和教学中心 外存储系统国家专业实验室 教育部信息存储系统重点实验室 中国教育科研网格主结点 国家高性能计算中心 ( 武汉 ) 服务计算技术与系统教育部重点实验室 湖北省数据库工程技术研究中心

More information

Computer Networks. Wenzhong Li. Nanjing University

Computer Networks. Wenzhong Li. Nanjing University Computer Networks Wenzhong Li Nanjing University 1 Chapter 4. Internetworking The Internet Protocol IP Address ARP and DHCP ICMP IPv6 Mobile IP Internet Routing IP Multicasting Multiprotocol Label Switching

More information

AEC Fanless Embedded Controller. Intel Pentium M 1.8 GHz. Wide temperature controller

AEC Fanless Embedded Controller. Intel Pentium M 1.8 GHz. Wide temperature controller Fanless Embedded Controller Intel Pentium M 1.8 GHz Wide temperature controller Manual 1st Ed. Oct. 2008 Copyright Notice This document is copyrighted, 2008. All rights are reserved. The original manufacturer

More information

PTZ PRO 2. Setup Guide 设置指南

PTZ PRO 2. Setup Guide 设置指南 PTZ PRO 2 Setup Guide 设置指南 3 ENGLISH 8 简体中文 2 KNOW YOUR PRODUCT 1 4 9 5 10 6 7 11 8 2 13 14 3 12 15 Camera 1. 10X lossless zoom 2. Camera LED 3. Kensington Security Slot Remote 4. Mirror 5. Zoom in 6.

More information

BlueCore BlueTunes Configuration Tool User Guide

BlueCore BlueTunes Configuration Tool User Guide BlueCore BlueTunes Configuration Tool User Guide Issue 1 CSR Cambridge Science Park Milton Road Cambridge CB4 0WH United Kingdom Registered in England 3665875 Tel.: +44 (0)1223 692000 Fax.: +44 (0)1223

More information

Command Dictionary -- DAMSTAB

Command Dictionary -- DAMSTAB 命令模式 DAMSTAB [(divlist)] /SDIC /SDIHC /SDIHR /SDI194C[SP] /SDI194P[SP] /SDI216C[SP] /SDI216P[SP] /SDIPtype [/L:l1,l2] [/B:b1[,b2]] [/N:n1,n2] [/STOP[AT][:n]] [/DLL:draftloadline] [/LIMITHEEL:angle] [/WRITE:runfile]

More information

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide

AvalonMiner Raspberry Pi Configuration Guide. AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide AvalonMiner 树莓派配置教程 AvalonMiner Raspberry Pi Configuration Guide 简介 我们通过使用烧录有 AvalonMiner 设备管理程序的树莓派作为控制器 使 用户能够通过控制器中管理程序的图形界面 来同时对多台 AvalonMiner 6.0 或 AvalonMiner 6.01 进行管理和调试 本教程将简要的说明 如何把 AvalonMiner

More information

FLIGHT INSTRUMENT PANEL

FLIGHT INSTRUMENT PANEL FLIGHT INSTRUMENT PANEL Professional Multi-Instrument LCD Panel Simulation Controller 专用多仪表 LCD 面板模拟控制器 USER GUIDE 用户指南 logitechg.com 2 English 4 ENGLISH 11 简体中文 3 Getting Started: FLIGHT INSTRUMENT PANEL

More information

Installing Cisco TelePresence MX300 G2 - Wheel Base

Installing Cisco TelePresence MX300 G2 - Wheel Base This installation guide covers MX300 G2 with a wheel base. Dimensions Height: 1606 mm / 63.3 in. Width: 1278 mm / 50.4 in. Depth: 755 mm / 29.8 in. Weight total: 47 kg / 104 lb Weight monitor: 35 kg /

More information

Chapter 4 (Part I) The Processor: Datapath and Control (A Single-cycle Implementation) 设计芯片电路方块图从何着手?

Chapter 4 (Part I) The Processor: Datapath and Control (A Single-cycle Implementation) 设计芯片电路方块图从何着手? Chapter (Part I) The Processor: Datapath and Control (A Single-cycle Implementation) 陳瑞奇 (J.C. Rikki Chen) 亚洲大学资讯工程学系 Adapted from class notes by Prof. M.J. Irwin, PSU and Prof. D. Patterson, UCB 设计芯片电路方块图从何着手?

More information

梁永健. W K Leung. 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei

梁永健. W K Leung. 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei 梁永健 W K Leung 华为企业业务 BG 解决方案销售部 CTO Chief Technology Officer, Solution Sales, Huawei Network Threats ICT 移动化云计算社交化大数据 Mobile Cloud Social Big Data 网络威胁 APT Mobile threats Web threats Worms Trojans Botnet

More information

NetScreen 概念与范例. ScreenOS 参考指南 第 7 卷 : 虚拟系统. ScreenOS 编号 SC 修订本 E

NetScreen 概念与范例. ScreenOS 参考指南 第 7 卷 : 虚拟系统. ScreenOS 编号 SC 修订本 E NetScreen 概念与范例 ScreenOS 参考指南 第 7 卷 : 虚拟系统 ScreenOS 5.0.0 编号 093-0930-000-SC 修订本 E Copyright Notice Copyright 2004 NetScreen Technologies, Inc. All rights reserved. NetScreen, NetScreen Technologies, GigaScreen,

More information

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 : Latest update:

学习沉淀成长分享 EIGRP. 红茶三杯 ( 朱 SIR) 微博 :  Latest update: 学习沉淀成长分享 EIGRP 红茶三杯 ( 朱 SIR) 微博 :http://t.sina.com/vinsoney Latest update: 2012-06-01 课程目标 EIGRP 协议基础 EIGRP 基础配置 EIGRP 协议基础 EIGRP 的协议特点 EIGRP 的三张表 EIGRP 数据包 初始路由发现 EIGRP metric DUAL 算法 EIGRP 的协议特点 CISCO

More information

Technology: Anti-social Networking 科技 : 反社交网络

Technology: Anti-social Networking 科技 : 反社交网络 Technology: Anti-social Networking 科技 : 反社交网络 1 Technology: Anti-social Networking 科技 : 反社交网络 The Growth of Online Communities 社交网络使用的增长 Read the text below and do the activity that follows. 阅读下面的短文, 然后完成练习

More information

腾讯云 DCDB for TDSQL. Best Practices 产品文档

腾讯云 DCDB for TDSQL. Best Practices 产品文档 腾讯云 DCDB for TDSQL Best Practices 产品文档 版权声明 2015-2016 腾讯云版权所有 本文档著作权归腾讯云单独所有, 未经腾讯云事先书面许可, 任何主体不得以任何形式复制 修改 抄袭 传 播全部或部分本文档内容 商标声明 及其它腾讯云服务相关的商标均为腾讯云计算 ( 北京 ) 有限责任公司及其关联公司所有 本文档涉及的第三方 主体的商标, 依法由权利人所有 服务声明

More information

TW5.0 如何使用 SSL 认证. 先使用 openssl 工具 1 生成 CA 私钥和自签名根证书 (1) 生成 CA 私钥 openssl genrsa -out ca-key.pem 1024

TW5.0 如何使用 SSL 认证. 先使用 openssl 工具 1 生成 CA 私钥和自签名根证书 (1) 生成 CA 私钥 openssl genrsa -out ca-key.pem 1024 TW5.0 如何使用 SSL 认证 先使用 openssl 工具 1 生成 CA 私钥和自签名根证书 (1) 生成 CA 私钥 openssl genrsa -out ca-key.pem 1024 Generating RSA private key, 1024 bit long modulus.++++++...++++++ e is 65537 (0x10001) (2) 生成待签名证书 openssl

More information

Machine Vision Market Analysis of 2015 Isabel Yang

Machine Vision Market Analysis of 2015 Isabel Yang Machine Vision Market Analysis of 2015 Isabel Yang CHINA Machine Vision Union Content 1 1.Machine Vision Market Analysis of 2015 Revenue of Machine Vision Industry in China 4,000 3,500 2012-2015 (Unit:

More information

Seagate Backup Plus Hub User Manual

Seagate Backup Plus Hub User Manual Seagate Backup Plus Hub User Manual Model: Click here to access an up-to-date online version of this document. You will also find the most recent content as well as expandable illustrations, easier navigation,

More information

IEEE 成立于 1884 年, 是全球最大的技术行业协会, 凭借其多样化的出版物 会议 教育论坛和开发标准, 在激励未来几代人进行技术创新方面做出了巨大的贡献, 其数据库产品 IEL(IEEE/IET Electronic Library)

IEEE 成立于 1884 年, 是全球最大的技术行业协会, 凭借其多样化的出版物 会议 教育论坛和开发标准, 在激励未来几代人进行技术创新方面做出了巨大的贡献, 其数据库产品 IEL(IEEE/IET Electronic Library) IEL Newsletter 2013 年 12 月特刊 :2012 年 IEEE 期刊影响因子及相关评价指标情况概览 欢迎体验全新的 IEEE Xplore 数字图书馆 www.ieee.org/ieeexplore IEEE 成立于 1884 年, 是全球最大的技术行业协会, 凭借其多样化的出版物 会议 教育论坛和开发标准, 在激励未来几代人进行技术创新方面做出了巨大的贡献, 其数据库产品 IEL(IEEE/IET

More information

Q s e v e n M o d u l e A Q 7 - I M X 6 AQ7-IMX6

Q s e v e n M o d u l e A Q 7 - I M X 6 AQ7-IMX6 AQ7-IMX6 Freescale i.mx6 Dual Lite/Quad Processor With LCD, Gigabit Ethernet PCI-Express[x1] x 1, CANBus x1 I2C x 1, SDIO x 1 Audio, SATA 3.0 Gb/s x 1 AQ7-IMX6 Manual Rev.A 2nd Ed. May 7, 2014 Copyright

More information

Tesira EX-MOD Input and Output Expander. Operation Manual

Tesira EX-MOD Input and Output Expander. Operation Manual Tesira EX-MOD Input and Output Expander Operation Manual May 2012 Biamp Systems, 9300 SW Gemini Drive, Beaverton, Oregon 97008 U.S.A. (503) 641-7287 www.biamp.com TABLE OF CONTENTS TESIRA EX-MOD.... 3

More information

TOP100 从代码修改到持续交付给客户 快速自动化软件集成及交付实践

TOP100 从代码修改到持续交付给客户 快速自动化软件集成及交付实践 TOP100 从代码修改到持续交付给客户 快速自动化软件集成及交付实践 演讲者 : 赵延涛 (Tony Zhao) 职位 : Principal SW CM Engineer 来自公司 : Ericsson Sweden Build Improvement 摘要 1. 概述 2. 实践 3. 展望 CI & CD 设计 - 工具的选择与环境的搭建 CI & CD - all in Git 代码库 编译加速

More information

Chapter2 Instruction Sets

Chapter2 Instruction Sets Coputer Architecture Chapter Instruction Sets Zheng Qinghua CS Departent of XJTU 05.3 Introduction to Instruction Set Architecture ISA is the structure of a coputer that a achine language prograer ust

More information

Decode Zend. Darkness/Airsupply

Decode Zend. Darkness/Airsupply Decode Zend Darkness/Airsupply 关于 PHP 深入了解 PHP 目录 Decode 的关键 ---Opcode Opcode Hooker 技术介绍 分析 Zend Optimizer 开始 Decode 饶过混淆技术 简约不简单 跨平台 使用广泛 About PHP Inside PHP Php core 与 ZendCore 1 把原始的 php 脚本生成中间代码

More information

AEC-6612 Rev. B. Compact Embedded Controller. Atom D GHz Processor. Intel. Dual LAN, 2/6 USB2.0, 2/6 COM, 1 VGA. 1 PCI-Express Mini Card

AEC-6612 Rev. B. Compact Embedded Controller. Atom D GHz Processor. Intel. Dual LAN, 2/6 USB2.0, 2/6 COM, 1 VGA. 1 PCI-Express Mini Card A E C - 6612 Rev. B AEC-6612 Rev. B Compact Embedded Controller Intel Atom D525 1.8GHz Processor Dual LAN, 2/6 USB2.0, 2/6 COM, 1 VGA 1 PCI-Express Mini Card AEC-6612 Manual 4th Ed. September 24, 2014

More information

United States Court of Appeals for the Federal Circuit

United States Court of Appeals for the Federal Circuit United States Court of Appeals for the Federal Circuit AMDOCS (ISRAEL) LIMITED, Plaintiff-Appellant v. OPENET TELECOM, INC., OPENET TELECOM LTD., Defendants-Appellees 2015-1180 Appeal from the United States

More information

IPC 的 Proxy-Stub 设计模式 ( c)

IPC 的 Proxy-Stub 设计模式 ( c) B05_c 基於軟硬整合觀點 IPC 的 Proxy-Stub 设计模式 ( c) By 高煥堂 天子 曹操 地头蛇? 3 包裝 IBinder 接口基於軟硬整合觀點 -- 使用 Proxy-Stub 设计模式 采用 Proxy-Stub 设计模式将 IBinder 接口包装起来, 让 App 与 IBinder 接口不再产生高度相依性 應用程序 (App) 其将 IBinder 接口包装起来, 转换出更好用的新接口

More information

Virtual Memory Management for Main-Memory KV Database Using Solid State Disk *

Virtual Memory Management for Main-Memory KV Database Using Solid State Disk * ISSN 1673-9418 CODEN JKYTA8 E-mail: fcst@vip.163.com Journal of Frontiers of Computer Science and Technology http://www.ceaj.org 1673-9418/2011/05(08)-0686-09 Tel: +86-10-51616056 DOI: 10.3778/j.issn.1673-9418.2011.08.002

More information

Table of Contents. DS159-ZH LUXEON XR-3020 Product Datasheet Lumileds Holding B.V. All rights reserved.

Table of Contents. DS159-ZH LUXEON XR-3020 Product Datasheet Lumileds Holding B.V. All rights reserved. Illumination LUXEON XR-3020 安装在超细刚性基板的线性 LED 模块 确保 高光效设计 LUXEON XR-3020 产品是为荧光灯置换等窄 20mm 宽 照明应用优化的超细 线性 LED 模块 该整合式解决方案具有 1 或 2 英尺版本 在 CEM3 导热基板 上安装 24 或 48 个 LED LUXEON XR-3020 设计可轻松整合系统 简化供应 链及加快上市速度

More information

Material Declaration Data Sheet

Material Declaration Data Sheet Material Declaration Data Sheet Model: SR1600UR, SR1625UR, SR2600UR, SR2625UR Families Manufacturer: Intel Corporation Note: This declaration applies to all associated product codes noted on Page 2 Lead

More information

密级 : 博士学位论文. 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究

密级 : 博士学位论文. 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究 密级 : 博士学位论文 论文题目基于 ScratchPad Memory 的嵌入式系统优化研究 作者姓名指导教师学科 ( 专业 ) 所在学院提交日期 胡威陈天洲教授计算机科学与技术计算机学院二零零八年三月 A Dissertation Submitted to Zhejiang University for the Degree of Doctor of Philosophy TITLE: The

More information