Dynamic Power Management (DPM)

Size: px
Start display at page:

Download "Dynamic Power Management (DPM)"

Transcription

1 Dynamic Power Management (DPM) 1

2 What is DPM? A design methodology aiming at controlling performance and power levels of digital circuits and systems with the goal of extending the autonomous operation time of battery-powered systems, providing graceful performance degradation when supple energy is limited, and adapting power dissipation to satisfy environmental constraints 2

3 Problem definition Most of the systems don t need to run at peak performance all the time DPM reduces power consumption by dynamically adjusting performance levels System can view as a collection of interacting resources 3

4 DPM system DPM is a control problem Policy is a control law The Power Manager unit (PM)is a controller which collects observations from the system and issues an appropriate command 4

5 Application Domain Portable systems e.g. Palm, Pager, GPS, Cellular Phone Operational time Non-Mobile System e.g. Server, PCs Environmental impact 5

6 Power Management System Time varying workload - interactive system - Communication devices Multiple states of operation - Trade off power for performance - State Transition can be controlled 6

7 DPM s Cost State transition cost - Transition Power - Transition Time No state transition cost - Policy is trivial. Shut down when idle. Turn on otherwise. Has State transition cost - Shut down when idle period is long enough to amortize the transition cost 7

8 Model of Power Management System What type and how much information should be exchanged between a PM and system components in order to implement effective policies? 8

9 Power Manageable Component (PMC) Many operation modes give a fine control on how to operate a PMC in such a way that power waste is minimized and performance is perfectly calibrated on the task PMC can be managed internally of externally, according to the physical location of the implementation of the corresponding policy 9

10 Power Managed System Self-managed component appear as non-controllable to the PM The power consumption of all noncontrollable components makes up a base line power consumption that can t be reduced by PM PM can be either hardware block or software or hybrid hardwaresoftware implementations 10

11 Power Management System Parameter: T ms Minimum sleeping time (T ms ) Break even time(t BE ) 11

12 Power Management System Parameter: T ms Energy during sleep state <= Energy during normal operation. Use this constrain to find T ms E sd +E wu +P s T ms = P w (T ms +T sd +T wu ) T ms = E sd +E wu -P w (T sd +T wu ) P w - P s 12

13 Power Management System Parameter: T BE,T bs, T idle T BE = T ms + T sd + T wu T BE = E sd +E wu -P s (T sd +T wu ) P w - P s T bs = Time before shut down Shutdown command can save power iff T idle > T bs + T BE 13

14 Power Management System Parameter: P ideal P ideal = Power cause by the optimum policy (Controlling the transitions between state on and off consisting of shutdown the component at the beginning of all idle period longer than T BE and wake up right in time to serve upcoming requests with no delay) 14

15 Power Management System Parameter : P save P save is the different between P ideal the power consumption of the system when in active state The larger T BE with respect to the average idle time the smaller P save 15

16 Predictions Over prediction performance penalty Under prediction power wasted but no performance penalty Ideal predictor totally safe (i.e. never makes over-prediction, and totally efficient (i.e. never makes under-prediction) 16

17 Synthesis of Power Management Scheme Policies with provable optimality properties Predictive technique - Fixed timeout (Static Technique) - Adaptive timeout Technique - Others 17

18 Synthesis of Power Management Scheme Stochastic technique - Static Technique: Discrete-time Markov (DM) decision process*, Continuous-time Markov process (CM), Semi-Markov approach (SM) - Adaptive technique: Adaptive Learning Tree * Extend to handle non-station requests by sliding window (SW) 18

19 Predictive Technique Exploiting the correlation between the past history of the workload and its near future in order to make reliable predictions about future events 19

20 Predictive Static Technique (Fixed Timeout) When an idle period begins, the timer is started with duration T TO. If after T TO the system still idle, then the PM forces the transition to off state. The system remains in off state until it receives a request from the environment that signals the end of the idle period 20

21 Fixed Timeout Assume that Prob(T idle > T be + T TO T idle > T TO ) = 1 Critical design is the choice of the timeout value Advantage: General (Applicability slightly depends on the workload), safety can be improved simply by increasing the timeout value 21

22 Fixed Timeout Trade-off efficiency for safety If safety has a high non-smooth instance-dependent behavior, it s difficult to choose optimal timeout value T TO = T BE lead to energy consumption that is at worse twice the energy consumed by an ideal policy [1] 22

23 Fixed Timeout Limitations: waste a sizeable amount of power waiting for the timeout to expire (can be addressed by predictive shut-down policies[2]), always pay a performance penalty upon wakeup (can be addressed by predictive wakeup[3]) 23

24 Predictive Adaptive Technique ATO1: Adjust T TO by considering the ratio of T idle [i-1]/t wu. When ratio small, T TO increase and vise versa[4]. ATO2: Updating T TO asymmetrically[5]. ATO3: Adjust T TO according to T busy [i]. If T busy [i] is small, T TO decrease and vise versa[6]. 24

25 Predictive Technique: Others L-shape: When request pattern form an L-shape, the device should be shut down after a short busy period[7]. Exponential Average: Using exponential average to predict the length of the current idle period[8]. 25

26 Disadvantage of Predictive Technique assume deterministic response and transition times for system Predictive algorithm are base on 2 state system model Predictive algorithm is geared toward power minimization and can t finely control performance penalty 26

27 Disadvantage of Predictive Technique Predictive algorithm is heuristic and can only be optimizing by gauged through comparative simulation. And parameter tuning can be very hard if many parameter are involves. 27

28 Stochastic Technique Stochastic control formulates policy optimization as an optimization problem under uncertainty rather than trying to eliminate uncertainty by prediction. Model both system and workload as stochastic processes 28

29 Stochastic Technique Stochastic control use Markov model which consist of SR (model the arrival of service requests for the system), SP (model the power states of the system), PM, Cost matrices (associate power and performances values with each system state-command pair) 29

30 Advantage of Stochastic Technique over Predictive Technique Captured the global view of the system which allow the designer to search for a global optimum that possible exploits multiple inactive states of multiple interacting resources 30

31 Advantage of Stochastic Technique over Predictive Technique Enable the exact solution of the performance-constrained power optimization problem Exploits the strength and optimality of randomized policies 31

32 Draw back of Stochastic Technique The performance and power obtained by a policy are expected values, there s no guarantee that the results will be optimum for a specific workload instance Policy optimization requires a Markov model for SP and SR which sometime is not applicable 32

33 Draw back of Stochastic Technique Policy implement not straightforward Markov model for SR and SP can be just an approximation and if the model is not accurate then the optimal policies are just approximate solution 33

34 Draw back of Stochastic Technique For non-stationary non-markovian workload, policy optimization by stochastic control is not guaranteed to provide optimum result 34

35 Stochastic Technique System model component Service Provider (SP) Service Requester (SR) Queue (Q) Cost Metric (c) and Service rate (b) Power manager (PM) - Decision - Policy 35

36 Stochastic Technique System component are modeled as Markov chain 36

37 Service Provider (SP) SP state, Sp = {1,2,,Sp} 37

38 Service Provider (SP) tn \t n+1 on off P SP (s_on) = on 1 0 off tn \t n+1 on off P SP (s_off) = on off

39 Service Requester (SR) SR state, Sr = {0,1,,Sr-1} 39

40 Service Requester (SR) tn \t n P SR =

41 Queue (Q) State set,q ={q i s.t. i = 0,1,,Q-1}. Queue length = Q-1 41

42 Queue (Q) Queue is in state q i when i requests are waiting to be serviced. Queue is bonded SP control how fast the queue is emptied. SR controls how fast the queue is filled 42

43 Queue (Q) FIFO queue with P sq (S p, S r ) tn \t n PS SQ (on,0) = tn \t n PS SQ (off,0) =

44 Queue (Q) FIFO queue with P sq (S p, S r ) tn \t n PS SQ (on,1) = tn \t n PS SQ (off,1) =

45 Power Consumption rate (c) Each power state has a specific power consumption rate, c associate with it. C is a function both of the state and the command performed on the state: c(sp,a) s_on s_off c(sp,a) = on 3 4 off

46 Service rate (b) s_on s_off b(sp,a) = on off 0 0 SP active only when it s in the on state and it s not being switch off. 46

47 Formulation of the policy optimization problem Formally describe the behavior of the PM Find the particular behavior that optimally reduces power dissipation under performance or power constraints Provide formal definition for power and performance cost metric 47

48 Power management Control procedure that issues a command a A to SP every time period t n Communicates with SP and attempts to set its state at the beginning of each period, by issuing commands chosen among a finite set A. 48

49 Power management Commands contain all proper specifications and collect all relevant information (by observing SR and PM) needed for implementing a power management policy. Power consumption of PM is small and can be negligible. 49

50 Power management States of the system, s, composed of the SP, the SR and the queue state. s = (s r,s p,s q ) s is a Markov chain with s r x s p x s q states whose transition matrix P(a) depend on a command a issued to the SP by the PM System is fully describe by a set of transition matrices, one for each command 50

51 Decision At the beginning of time period n, PM observes the history, H n of the system (i.e. the sequence of states and commands up to n-1) and controls SP by taking decision δn Deterministic decision Randomized decision 51

52 Policy Stationary policy Randomized stationary policy 52

53 Cost Metric Function of both decision and state i.e. the decision taken when in state s Expected power consumption level c(s p,δa) per unit time Performance penalty per unit time d(s q ): depend on queue length( number of job in a queue). Easiest performance penalty = s q 53

54 Policy Optimization Policy optimization (PO): search the space of all possible policies to find the one that minimizes a cost metric (Power and performance) PO targets the optimization of one cost metric while using the second as constraint 54

55 Stochastic Static Technique Discrete-time Markov (DM) decision process[9] Discrete time t = 1,2, Modeling choice of time granularity SR and SP modeled by Markov chains with finite state space PM can issue a finite number of command 55

56 Stochastic Static Technique sliding window (SW) DMP[10] User request prediction - Single window approach - Multiple window approach Policy Table: Dimension corresponding to # of SR states. Choosing decision using interpolation 56

57 Stochastic Static Technique Continuous-time Markov process (CM)[11] PM change power states upon event occurrences Make decision as soon as certain events happen. 57

58 Stochastic Static Technique Semi-Markov approach (SM)[12] Time-indexed Semi-Markov decision process model Markovian randomized stationary policies 58

59 Stochastic Static technique SM and CM decision are made at each event occurrence (Event-driven PM)instead of at each discrete time interval (Clock-driven PM)as in DM. Event-driven PM make decision only in response to the changes in the workload and in the state of operation of the system without creating additional activity in each clock cycle when the system is idle. 59

60 Stochastic Static technique Semi-Markov model is more general than the Markov model as it allows general inter-state time distributions instead of requiring geometric (DM) or exponential (CM) distribution. As a result, it can accurately represent a larger class of system 60

61 Stochastic Adaptive Technique Adaptive Learning Tree[13] Adaptive Technique try to over come the problem of non-stationary workload. Idle Period Clustering Decision Learning 61

62 Stochastic Adaptive Technique Enhance scheme for PM of Adaptive learning tree technique Wake-up and miss correction Prediction filter 62

63 Implementation on DPM Clock Gating Supply Shutdown Multiple and variable power supply 63

64 Clock Gating Small overhead in term of additional circuit and often zero performance overheads since the component can transition from an idle to an active state in one (or few) cycle 64

65 Clock Gating Power can be reduce even further by stopping not only clock distribution, but also clock generation (i.e. stop the master clock PLL or internal oscillator). This choice implies nonnegligible shutdown and restart delay and it s generally not automated. 65

66 Supply Shut down Power off the idle unit. This requires controllable switches on the component supply line. Wide applicability to all kind of electronic components (i.e. digital and analog units, sensor and transducers), electro-optical and electro-mechanical system component. 66

67 Supply Shut down Component s operation must be reinitialize and hence high wake-up recovery time. Mechanical moving part like HDD state transition involve in accelerating and decelerating moving part and hence decrease the expect life time of the component which can be seen as another cost associate with state transition. 67

68 Clock Gating Vs Supply Shut down Construct an idleness-detecting circuit, which is small, and consume little power and accurate (i.e. able to stop the clock whenever the component is idle) is challenging Design gated-clock distribution circuitry that introduce minimum routing overhead and keep clock skew low is challenging 68

69 Clock Gating Vs Supply Shut down Clock gating doesn t eliminate power dissipation. And leakage current dissipation power still present even when all clock are halted. 69

70 Multiple and variable power supply Component that are not idle but performance requirements varies with time. Slowdown of non-critical components by lowering the voltage supply 70

71 Multiple and variable power supply Enable dynamic adjustment of power supply voltage during system operation Clock frequency tracks the speed changes caused by dynamic voltage supply adjustments 71

72 System Level Implementation DPM scheme at system level can coexist with local PM of the component PM can be hardwired or micro programmed controller 72

73 System Level Implementation Policies base on timeout are implemented by timers while policy base on stochastic control can be implemented by look-up table or by sequential circuits. Randomized policy require the use of pseudorandom number generator that can be implemented by LFSR 73

74 System Level Implementation Implement OS-based power management (OSPM) is hardware/software co-design problem because the hardware resources need to be interfaced with the OS-based software PM and both hardware and software application programs need to be designed so that they cooperate with OSPM. 74

75 System Level PM standard OnNow supports the implementation of OSPM and targets the design of personal computers with improved usability through innovative OS design ACPI simplifies the co-design of OSPM by providing an interface standard to control system resources 75

76 ACPI OS-independent power management and configuration standard. Defines interfaces between OS software and hardware. Doesn t provide management control scheme Applicable to PCs only 76

77 ACPI Module of the OS implements the power management policies. The power management module interact with the hardware through system calls. The kernel interact with the hardware using device driver 77

78 ACPI 78

79 ACPI ACPI driver OS-specific Maps the kernel request to ACPI commands ACPI response to kernel using interrupt. 79

80 ACPI Hardware Platform Hardware resources (devices) e.g. bus controller, modem CPU : need to be active for the OS and ACPI interface layer to run Chipset (core logic): Motherboard logic that controls the most basic hardware functionalities and interfaces the CPU with all other devices. 80

81 State definition of ACPI G3: Mechanical off state G2: Soft off state G1: Sleeping state G0: Working state Legacy state S1-S4: Sleeping state within G1. D0-D3 & C0-C3: Device and processor states 81

82 State definition of ACPI 82

83 OnNow PC turn-on delay is negligible The operating system and applications work together intelligently to operate the PC to deliver effective power management in accordance with the user s current needs and expectations. 83

84 OnNow Relies on the ACPI infrastructure to interface the software to the hardware components to be managed. Resources participate in DPM by response to OS commands. 84

85 OnNow States global states -working -sleep -off Device Power state, D0-D3 85

86 OnNow 86

87 Reference [1] A. Karlin, M. Manasse, L. McGeoch and S. Owicki, Competitive Randomized Algorithms for Non uniform Problems, Algorithnmica, Vol. 11 No. 6, pp , June 1994 [2] M. Srivastava, A. chandrakasan, R. Brodersen, Predictive System Shutdown and Other Architectural Techniques for Energy Efficient Programmable computation, IEEE Transactions on VLSI system, vol. 4, no. 1, pp.42-55, March 1996 [3] C.-H Hwang and A. Wu, A predictive System Shutdown Method for energy Saving of Event-Driven Computation, International Conference on Computer-Aided Design, pp28-32, Nov [4]F. Douglis, P. Krishnan, and B. Bershad, Adaptive Disk Spin-down Policies for Mobile Computers, Computing Systems, volume 8, pp ,

88 Reference [5] R. Golding, P. Bosch, and J. Wikes, Idleness is not Sloth, USENIX Winter Conference, pp , 1995 [6]Y. -H. Lu, T. Simunic, and G. D. Micheli, Adaptive hard disk Power Management on Personal Computers, Great Lakes Symposium on VLSI, pp 50-53, 1999 [7] M. B. Srivatava, A. P. Chandrakasan, and R. W. Brodersen, Predictive System Shutdown and Other Architecture Techniques for Energy Efficient Programmable Computation, IEEE Transactions on VLSI Systems, pp 42-55, March 1996 [8] C. H Hwang and A. C. Wu, A Predictive System Shutdown Method for Energy Saving of Event-Driven Computation, International Conference in Computer- Aided Design, pp 28-32,

89 Reference [9] G. A. paleologo, L. Benini, A. Bogliolo, G. De Micheli, Policy Optimization for Dynamic Power Management, [10] Eui-Young Chung, L. Benini, A. Bogliolo, G. De Micheli, Dynamic power Management for non-stationary service requests, [11] Q. Qiu and M. Pedram, Dynamic Power Management Based on Continuous-Time Markov Decision Processes, Design Automation Conference, pp , 1999 [12] Tajana Simunic, Luca Benini, Giovanni De Micheli, Event Driven PM of Portable Systems, 89

90 Reference [13] Eui-Young Chung, Luca Benini, Giovanni De Micheli, Dynamic Power Management Using Adaptive Learning Tree, [14] Yung-Hsiang Lu, Eui-Young Chung, Tajana Simunic, Luca Benini, Giovanni De Micheli, Quantitative Comparison of Power Management Algorithm, [15] L. Benini, A. Bogliolo, G. De Micheli, A Survey of Design Techniques for System-level Dynamic Power Management, [16] G. De Micheli, L. Benini, A. Bogliolo, Dynamic Power Management of Electronic Systems, 90

91 Reference [17] [18] 91

Adaptive Timeout Policies for Fast Fine-Grained Power Management

Adaptive Timeout Policies for Fast Fine-Grained Power Management Adaptive Timeout Policies for Fast Fine-Grained Power Management Branislav Kveton branislav.kveton@intel.com Shie Mannor Department of Electrical and Computer Engineering McGill University shie@ece.mcgill.ca

More information

Event-Driven Power Management

Event-Driven Power Management 840 IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 20, NO. 7, JULY 2001 Event-Driven Power Management Tajana Šimunić, Luca Benini, Member, IEEE, Peter Glynn, and Giovanni

More information

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks Last Time Making correct concurrent programs Maintaining invariants Avoiding deadlocks Today Power management Hardware capabilities Software management strategies Power and Energy Review Energy is power

More information

Lecture 15. Power Management II Devices and Algorithms CM0256

Lecture 15. Power Management II Devices and Algorithms CM0256 Lecture 15 Power Management II Devices and Algorithms CM0256 Power Management Power Management is a way for the computer or other device to save power by turning off certain features of the computer such

More information

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN

NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN NETWORKS on CHIP A NEW PARADIGM for SYSTEMS on CHIPS DESIGN Giovanni De Micheli Luca Benini CSL - Stanford University DEIS - Bologna University Electronic systems Systems on chip are everywhere Technology

More information

Observer. Policy. Controller HARDWARE

Observer. Policy. Controller HARDWARE Monitoring system activity for OS-directed dynamic power management Luca Benini Alessandro Bogliolo Stefano Cavallucci Bruno Ricco DEIS - Universita di Bologna Bologna, ITALY 40136 Abstract 1 In this paper

More information

Power-Aware Resource Management Techniques for. Low-Power Embedded Systems

Power-Aware Resource Management Techniques for. Low-Power Embedded Systems Power-Aware Resource Management Techniques for Low-Power Embedded Systems Jihong Kim School of Computer Science & Engineering Seoul National University Seoul, Korea 151-742 E-mail: jihong@davinci.snu.ac.kr

More information

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI CMPE 655- MULTIPLE PROCESSOR SYSTEMS OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI What is MULTI PROCESSING?? Multiprocessing is the coordinated processing

More information

Power Management for Stationary Machines in a Pervasive Computing Environment

Power Management for Stationary Machines in a Pervasive Computing Environment Power Management for Stationary Machines in a Pervasive Computing Environment Colin Harris and Vinny Cahill Distributed Systems Group, Department of Computer Science, Trinity College Dublin. email: Colin.Harris@cs.tcd.ie,

More information

19: I/O Devices: Clocks, Power Management

19: I/O Devices: Clocks, Power Management 19: I/O Devices: Clocks, Power Management Mark Handley Clock Hardware: A Programmable Clock Pulses Counter, decremented on each pulse Crystal Oscillator On zero, generate interrupt and reload from holding

More information

DPM at OS level: low-power scheduling policies

DPM at OS level: low-power scheduling policies Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 6 1 DPM at OS level: low-power scheduling policies STMicroeletronics AST

More information

Power Management. José Costa. Software for Embedded Systems. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico

Power Management. José Costa. Software for Embedded Systems. Departamento de Engenharia Informática (DEI) Instituto Superior Técnico Power Management José Costa Software for Embedded Systems Departamento de Engenharia Informática (DEI) Instituto Superior Técnico 2015-03-30 José Costa (DEI/IST) Power Management 1 Outline CPU Power Consumption

More information

Smart Screen Management on Mobile Phones

Smart Screen Management on Mobile Phones Smart Screen Management on Mobile Phones Hossein Falaki Center for Embedded Networked Sensing Computer Science Department University of California, Los Angeles Email: falaki@cs.ucla.edu Paper type: Research

More information

A SIMULATION MODEL FOR STREAMING APPLICATIONS OVER A POWER-MANAGEABLE WIRELESS LINK

A SIMULATION MODEL FOR STREAMING APPLICATIONS OVER A POWER-MANAGEABLE WIRELESS LINK A SIMULATION MODEL FOR STREAMING APPLICATIONS OVER A POWER-MANAGEABLE WIRELESS LINK Andrea Acquaviva Emanuele Lattanzi Alessandro Bogliolo ISTI - University of Urbino Piazza della Repubblica 13 6129 Urbino,

More information

Power Management as I knew it. Jim Kardach

Power Management as I knew it. Jim Kardach Power Management as I knew it Jim Kardach 1 Agenda Philosophy of power management PM Timeline Era of OS Specific PM (OSSPM) Era of OS independent PM (OSIPM) Era of OS Assisted PM (APM) Era of OS & hardware

More information

Let s look at each and begin with a view into the software

Let s look at each and begin with a view into the software Power Consumption Overview In this lesson we will Identify the different sources of power consumption in embedded systems. Look at ways to measure power consumption. Study several different methods for

More information

Real-Time Component Software. slide credits: H. Kopetz, P. Puschner

Real-Time Component Software. slide credits: H. Kopetz, P. Puschner Real-Time Component Software slide credits: H. Kopetz, P. Puschner Overview OS services Task Structure Task Interaction Input/Output Error Detection 2 Operating System and Middleware Application Software

More information

Network Swapping. Outline Motivations HW and SW support for swapping under Linux OS

Network Swapping. Outline Motivations HW and SW support for swapping under Linux OS Network Swapping Emanuele Lattanzi, Andrea Acquaviva and Alessandro Bogliolo STI University of Urbino, ITALY Outline Motivations HW and SW support for swapping under Linux OS Local devices (CF, µhd) Network

More information

Low Power System-on-Chip Design Chapters 3-4

Low Power System-on-Chip Design Chapters 3-4 1 Low Power System-on-Chip Design Chapters 3-4 Tomasz Patyk 2 Chapter 3: Multi-Voltage Design Challenges in Multi-Voltage Designs Voltage Scaling Interfaces Timing Issues in Multi-Voltage Designs Power

More information

Hybrid Power Management for Office Equipment

Hybrid Power Management for Office Equipment Hybrid Power Management for Office Equipment GANESH GINGADE, WENYI CHEN, YUNG-HSIANG LU, and JAN ALLEBACH, Purdue University HERNAN ILDEFONSO GUTIERREZ-VAZQUEZ, Hewlett-Packard Company Office machines

More information

A Methodology Based on Formal Methods for Predicting the Impact of Dynamic Power Management

A Methodology Based on Formal Methods for Predicting the Impact of Dynamic Power Management A Methodology Based on Formal Methods for Predicting the Impact of Dynamic Power Management A. Acquaviva, A. Aldini, M. Bernardo, A. Bogliolo, E. Bontà, E. Lattanzi Università di Urbino Carlo Bo Istituto

More information

Advanced Multimedia Architecture Prof. Cristina Silvano June 2011 Amir Hossein ASHOURI

Advanced Multimedia Architecture Prof. Cristina Silvano June 2011 Amir Hossein ASHOURI Advanced Multimedia Architecture Prof. Cristina Silvano June 2011 Amir Hossein ASHOURI 764722 IBM energy approach policy: One Size Fits All Encompass Software/ Firmware/ Hardware Power7 predecessors features

More information

Managing Power Consumption in Networks on Chips

Managing Power Consumption in Networks on Chips Managing Power Consumption in etworks on Chips Taana Simunic HP Labs & Stanford University 1501 Page Mill Rd., MS 3U-4 Palo Alto, CA 94304 (650) 236-5537 taana@stanford.edu Stephen Boyd Stanford University

More information

Implementing Scheduling Algorithms. Real-Time and Embedded Systems (M) Lecture 9

Implementing Scheduling Algorithms. Real-Time and Embedded Systems (M) Lecture 9 Implementing Scheduling Algorithms Real-Time and Embedded Systems (M) Lecture 9 Lecture Outline Implementing real time systems Key concepts and constraints System architectures: Cyclic executive Microkernel

More information

PURDUE UNIVERSITY GRADUATE SCHOOL Thesis Acceptance

PURDUE UNIVERSITY GRADUATE SCHOOL Thesis Acceptance PURDUE UNIVERSITY GRADUATE SCHOOL Thesis Acceptance This is to certify that the thesis prepared By Le Cai Entitled Joint Power Management of Memory and Hard Disks Complies with University regulations and

More information

CS 525M Mobile and Ubiquitous Computing Seminar. Michael Theriault

CS 525M Mobile and Ubiquitous Computing Seminar. Michael Theriault CS 525M Mobile and Ubiquitous Computing Seminar Michael Theriault Software Strategies for Portable Computer Energy Management Paper by Jacob R. Lorch and Alan J. Smith at the University of California In

More information

OPERATING SYSTEMS CS3502 Spring Processor Scheduling. Chapter 5

OPERATING SYSTEMS CS3502 Spring Processor Scheduling. Chapter 5 OPERATING SYSTEMS CS3502 Spring 2018 Processor Scheduling Chapter 5 Goals of Processor Scheduling Scheduling is the sharing of the CPU among the processes in the ready queue The critical activities are:

More information

REDUCING energy consumption has become one of the

REDUCING energy consumption has become one of the IEEE TRANSACTIONS ON COMPUTERS, VOL. 55, NO. 6, JUNE 2006 641 Program Counter-Based Prediction Techniques for Dynamic Power Management Chris Gniady, Member, IEEE, AliR.Butt,Member, IEEE, Y. Charlie Hu,

More information

POWER MANAGEMENT AND ENERGY EFFICIENCY

POWER MANAGEMENT AND ENERGY EFFICIENCY POWER MANAGEMENT AND ENERGY EFFICIENCY * Adopted Power Management for Embedded Systems, Minsoo Ryu 2017 Operating Systems Design Euiseong Seo (euiseong@skku.edu) Need for Power Management Power consumption

More information

CS370 Operating Systems

CS370 Operating Systems CS370 Operating Systems Colorado State University Yashwant K Malaiya Fall 2017 Lecture 23 Virtual memory Slides based on Text by Silberschatz, Galvin, Gagne Various sources 1 1 FAQ Is a page replaces when

More information

Benchmarking of Dynamic Power Management Solutions. Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007

Benchmarking of Dynamic Power Management Solutions. Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007 Benchmarking of Dynamic Power Management Solutions Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007 Why Benchmarking?! From Here to There, 2000whatever Vendor NXP

More information

OPERATING SYSTEMS CS136

OPERATING SYSTEMS CS136 OPERATING SYSTEMS CS136 Jialiang LU Jialiang.lu@sjtu.edu.cn Based on Lecture Notes of Tanenbaum, Modern Operating Systems 3 e, 1 Chapter 5 INPUT/OUTPUT 2 Overview o OS controls I/O devices => o Issue commands,

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

Energy Management Issue in Ad Hoc Networks

Energy Management Issue in Ad Hoc Networks Wireless Ad Hoc and Sensor Networks - Energy Management Outline Energy Management Issue in ad hoc networks WS 2010/2011 Main Reasons for Energy Management in ad hoc networks Classification of Energy Management

More information

Design and Implementation of High Performance DDR3 SDRAM controller

Design and Implementation of High Performance DDR3 SDRAM controller Design and Implementation of High Performance DDR3 SDRAM controller Mrs. Komala M 1 Suvarna D 2 Dr K. R. Nataraj 3 Research Scholar PG Student(M.Tech) HOD, Dept. of ECE Jain University, Bangalore SJBIT,Bangalore

More information

Using the Current Control for Dynamic Voltage Scaling to Reduce the Power Consumption of PC Systems

Using the Current Control for Dynamic Voltage Scaling to Reduce the Power Consumption of PC Systems Using the Current Control for Dynamic Voltage Scaling to Reduce the Power Consumption of PC Systems Ying-Wen Bai and Feng-Hua Chang Abstract In this paper we use the load current of the CPU as decision

More information

Energy Management Issue in Ad Hoc Networks

Energy Management Issue in Ad Hoc Networks Wireless Ad Hoc and Sensor Networks (Energy Management) Outline Energy Management Issue in ad hoc networks WS 2009/2010 Main Reasons for Energy Management in ad hoc networks Classification of Energy Management

More information

Accurate and Stable Empirical CPU Power Modelling for Multi- and Many-Core Systems

Accurate and Stable Empirical CPU Power Modelling for Multi- and Many-Core Systems Accurate and Stable Empirical CPU Power Modelling for Multi- and Many-Core Systems Matthew J. Walker*, Stephan Diestelhorst, Geoff V. Merrett* and Bashir M. Al-Hashimi* *University of Southampton Arm Ltd.

More information

Display Management: Outline

Display Management: Outline Display Management: Sensing User Intention and Context (HOTOS 2003) Outline Motivation and Research Objective FaceOff Architecture and Prototype Evaluation Best Case Feasibility Study Responsiveness Study

More information

Practical Voltage Scaling for Mobile Multimedia Devices

Practical Voltage Scaling for Mobile Multimedia Devices Practical Voltage Scaling for Mobile Multimedia Devices Wanghong Yuan, Klara Nahrstedt Department of Computer Science University of Illinois at Urbana-Champaign N. Goodwin, Urbana, IL 68, USA {wyuan, klara}@cs.uiuc.edu

More information

Process Scheduling. Copyright : University of Illinois CS 241 Staff

Process Scheduling. Copyright : University of Illinois CS 241 Staff Process Scheduling Copyright : University of Illinois CS 241 Staff 1 Process Scheduling Deciding which process/thread should occupy the resource (CPU, disk, etc) CPU I want to play Whose turn is it? Process

More information

OPERATING SYSTEMS. Systems with Multi-programming. CS 3502 Spring Chapter 4

OPERATING SYSTEMS. Systems with Multi-programming. CS 3502 Spring Chapter 4 OPERATING SYSTEMS CS 3502 Spring 2018 Systems with Multi-programming Chapter 4 Multiprogramming - Review An operating system can support several processes in memory. While one process receives service

More information

Investigating MAC-layer Schemes to Promote Doze Mode in based WLANs

Investigating MAC-layer Schemes to Promote Doze Mode in based WLANs Investigating MAC-layer Schemes to Promote Doze Mode in 802.11-based WLANs V. Baiamonte and C.-F. Chiasserini CERCOM - Dipartimento di Elettronica Politecnico di Torino Torino, Italy Email: baiamonte,chiasserini

More information

Power Management for Embedded Systems

Power Management for Embedded Systems Power Management for Embedded Systems Minsoo Ryu Hanyang University Why Power Management? Battery-operated devices Smartphones, digital cameras, and laptops use batteries Power savings and battery run

More information

Embedded Systems Architecture

Embedded Systems Architecture Embedded System Architecture Software and hardware minimizing energy consumption Conscious engineer protects the natur M. Eng. Mariusz Rudnicki 1/47 Software and hardware minimizing energy consumption

More information

Prediction based Hard Disk Power Conservation Algorithm in Mobile Ad hoc Networks using APRIORI

Prediction based Hard Disk Power Conservation Algorithm in Mobile Ad hoc Networks using APRIORI ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Indian Journal of Science and Technology, Vol 10(31), DOI: 10.17485/ijst/2017/v10i31/113873, August 2017 Prediction based Hard Disk Power Conservation

More information

Chapter 8: Virtual Memory. Operating System Concepts

Chapter 8: Virtual Memory. Operating System Concepts Chapter 8: Virtual Memory Silberschatz, Galvin and Gagne 2009 Chapter 8: Virtual Memory Background Demand Paging Copy-on-Write Page Replacement Allocation of Frames Thrashing Memory-Mapped Files Allocating

More information

Design For High Performance Flexray Protocol For Fpga Based System

Design For High Performance Flexray Protocol For Fpga Based System IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 PP 83-88 www.iosrjournals.org Design For High Performance Flexray Protocol For Fpga Based System E. Singaravelan

More information

CS370: System Architecture & Software [Fall 2014] Dept. Of Computer Science, Colorado State University

CS370: System Architecture & Software [Fall 2014] Dept. Of Computer Science, Colorado State University Frequently asked questions from the previous class survey CS 370: SYSTEM ARCHITECTURE & SOFTWARE [CPU SCHEDULING] Shrideep Pallickara Computer Science Colorado State University OpenMP compiler directives

More information

Queuing Networks. Renato Lo Cigno. Simulation and Performance Evaluation Queuing Networks - Renato Lo Cigno 1

Queuing Networks. Renato Lo Cigno. Simulation and Performance Evaluation Queuing Networks - Renato Lo Cigno 1 Queuing Networks Renato Lo Cigno Simulation and Performance Evaluation 2014-15 Queuing Networks - Renato Lo Cigno 1 Moving between Queues Queuing Networks - Renato Lo Cigno - Interconnecting Queues 2 Moving

More information

Chapter 6: CPU Scheduling. Operating System Concepts 9 th Edition

Chapter 6: CPU Scheduling. Operating System Concepts 9 th Edition Chapter 6: CPU Scheduling Silberschatz, Galvin and Gagne 2013 Chapter 6: CPU Scheduling Basic Concepts Scheduling Criteria Scheduling Algorithms Thread Scheduling Multiple-Processor Scheduling Real-Time

More information

ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS

ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS ENERGY EFFICIENT SCHEDULING FOR REAL-TIME EMBEDDED SYSTEMS WITH PRECEDENCE AND RESOURCE CONSTRAINTS Santhi Baskaran 1 and P. Thambidurai 2 1 Department of Information Technology, Pondicherry Engineering

More information

Embedded System Architecture

Embedded System Architecture Embedded System Architecture Software and hardware minimizing energy consumption Conscious engineer protects the natur Embedded Systems Architecture 1/44 Software and hardware minimizing energy consumption

More information

Achieving Autonomous Power Management Using Reinforcement Learning

Achieving Autonomous Power Management Using Reinforcement Learning Achieving Autonomous Power Management Using Reinforcement Learning HAO SHEN, Syracuse University YING TAN and JUN LU, Binghamton University QING WU, Air Force Research Laboratory QINRU QIU, Syracuse University

More information

I/O Systems (4): Power Management. CSE 2431: Introduction to Operating Systems

I/O Systems (4): Power Management. CSE 2431: Introduction to Operating Systems I/O Systems (4): Power Management CSE 2431: Introduction to Operating Systems 1 Outline Overview Hardware Issues OS Issues Application Issues 2 Why Power Management? Desktop PCs Battery-powered Computers

More information

ISSN Vol.05, Issue.12, December-2017, Pages:

ISSN Vol.05, Issue.12, December-2017, Pages: ISSN 2322-0929 Vol.05, Issue.12, December-2017, Pages:1174-1178 www.ijvdcs.org Design of High Speed DDR3 SDRAM Controller NETHAGANI KAMALAKAR 1, G. RAMESH 2 1 PG Scholar, Khammam Institute of Technology

More information

CPU-GPU Heterogeneous Computing

CPU-GPU Heterogeneous Computing CPU-GPU Heterogeneous Computing Advanced Seminar "Computer Engineering Winter-Term 2015/16 Steffen Lammel 1 Content Introduction Motivation Characteristics of CPUs and GPUs Heterogeneous Computing Systems

More information

Introduction to Energy-Efficient Software 2 nd life talk

Introduction to Energy-Efficient Software 2 nd life talk Introduction to Energy-Efficient Software 2 nd life talk Intel Software and Solutions Group Bob Steigerwald Nov 8, 2007 Taylor Kidd Nov 15, 2007 Agenda Demand for Mobile Computing Devices What is Energy-Efficient

More information

A Simple Model for Estimating Power Consumption of a Multicore Server System

A Simple Model for Estimating Power Consumption of a Multicore Server System , pp.153-160 http://dx.doi.org/10.14257/ijmue.2014.9.2.15 A Simple Model for Estimating Power Consumption of a Multicore Server System Minjoong Kim, Yoondeok Ju, Jinseok Chae and Moonju Park School of

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

CS370 Operating Systems

CS370 Operating Systems CS370 Operating Systems Colorado State University Yashwant K Malaiya Spring 2018 L20 Virtual Memory Slides based on Text by Silberschatz, Galvin, Gagne Various sources 1 1 Questions from last time Page

More information

CS370 Operating Systems

CS370 Operating Systems CS370 Operating Systems Colorado State University Yashwant K Malaiya Fall 2016 Lecture 2 Slides based on Text by Silberschatz, Galvin, Gagne Various sources 1 1 2 System I/O System I/O (Chap 13) Central

More information

A Programming Environment with Runtime Energy Characterization for Energy-Aware Applications

A Programming Environment with Runtime Energy Characterization for Energy-Aware Applications A Programming Environment with Runtime Energy Characterization for Energy-Aware Applications Changjiu Xian Department of Computer Science Purdue University West Lafayette, Indiana cjx@cs.purdue.edu Yung-Hsiang

More information

Chapter 9: Virtual-Memory

Chapter 9: Virtual-Memory Chapter 9: Virtual-Memory Management Chapter 9: Virtual-Memory Management Background Demand Paging Page Replacement Allocation of Frames Thrashing Other Considerations Silberschatz, Galvin and Gagne 2013

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Power Management in Mobile and Pervasive Computing Systems. Univ. of Pisa, Dept. of Information Engineering Via Diotisalvi Pisa, Italy

Power Management in Mobile and Pervasive Computing Systems. Univ. of Pisa, Dept. of Information Engineering Via Diotisalvi Pisa, Italy Power Management in Mobile and Pervasive Computing Systems G. Anastasi, M. Conti *, A. Passarella Univ. of Pisa, Dept. of Information Engineering Via Diotisalvi 2-56122 Pisa, Italy {g.anastasi, a.passarella}@iet.unipi.it

More information

F²MC-16FX FAMILY ALL SERIES STANDBY MODES & POWER MANAGEMENT 16-BIT MICROCONTROLLER APPLICATION NOTE. Fujitsu Microelectronics Europe Application Note

F²MC-16FX FAMILY ALL SERIES STANDBY MODES & POWER MANAGEMENT 16-BIT MICROCONTROLLER APPLICATION NOTE. Fujitsu Microelectronics Europe Application Note Fujitsu Microelectronics Europe Application Note MCU-AN-300226-E-V15 F²MC-16FX FAMILY 16-BIT MICROCONTROLLER ALL SERIES STANDBY MODES & POWER MANAGEMENT APPLICATION NOTE Revision History Revision History

More information

Linux Power Management

Linux Power Management Linux Power Management Uses one of two types of management Advanced Power Management (APM) older BIOS base power management Advanced Configuration and Power Interface (ACPI) newer More operating system

More information

DON T CRY OVER SPILLED RECORDS Memory elasticity of data-parallel applications and its application to cluster scheduling

DON T CRY OVER SPILLED RECORDS Memory elasticity of data-parallel applications and its application to cluster scheduling DON T CRY OVER SPILLED RECORDS Memory elasticity of data-parallel applications and its application to cluster scheduling Călin Iorgulescu (EPFL), Florin Dinu (EPFL), Aunn Raza (NUST Pakistan), Wajih Ul

More information

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique

A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique A Low-Power Field Programmable VLSI Based on Autonomous Fine-Grain Power Gating Technique P. Durga Prasad, M. Tech Scholar, C. Ravi Shankar Reddy, Lecturer, V. Sumalatha, Associate Professor Department

More information

!! What is virtual memory and when is it useful? !! What is demand paging? !! When should pages in memory be replaced?

!! What is virtual memory and when is it useful? !! What is demand paging? !! When should pages in memory be replaced? Chapter 10: Virtual Memory Questions? CSCI [4 6] 730 Operating Systems Virtual Memory!! What is virtual memory and when is it useful?!! What is demand paging?!! When should pages in memory be replaced?!!

More information

8: Scheduling. Scheduling. Mark Handley

8: Scheduling. Scheduling. Mark Handley 8: Scheduling Mark Handley Scheduling On a multiprocessing system, more than one process may be available to run. The task of deciding which process to run next is called scheduling, and is performed by

More information

Efficient Securing of Multithreaded Server Applications

Efficient Securing of Multithreaded Server Applications Efficient Securing of Multithreaded Server Applications Mark Grechanik, Qing Xie, Matthew Hellige, Manoj Seshadrinathan, and Kelly L. Dempski Accenture Technology Labs Copyright 2007 Accenture. All rights

More information

Dynamic Power Management of Electronic Systems

Dynamic Power Management of Electronic Systems Dynamic Power Management of Electronic Systems Luca Benini Alessandro Bogliolo Giovanni De Micheli y DEIS - Università di Bologna Abstract Dynamic power management is a design methodology aiming at controlling

More information

Notes based on prof. Morris's lecture on scheduling (6.824, fall'02).

Notes based on prof. Morris's lecture on scheduling (6.824, fall'02). Scheduling Required reading: Eliminating receive livelock Notes based on prof. Morris's lecture on scheduling (6.824, fall'02). Overview What is scheduling? The OS policies and mechanisms to allocates

More information

Multiprocessor scheduling

Multiprocessor scheduling Chapter 10 Multiprocessor scheduling When a computer system contains multiple processors, a few new issues arise. Multiprocessor systems can be categorized into the following: Loosely coupled or distributed.

More information

Transistors and Wires

Transistors and Wires Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis Part II These slides are based on the slides provided by the publisher. The slides

More information

The Role of Performance

The Role of Performance Orange Coast College Business Division Computer Science Department CS 116- Computer Architecture The Role of Performance What is performance? A set of metrics that allow us to compare two different hardware

More information

Page Replacement Algorithms

Page Replacement Algorithms Page Replacement Algorithms MIN, OPT (optimal) RANDOM evict random page FIFO (first-in, first-out) give every page equal residency LRU (least-recently used) MRU (most-recently used) 1 9.1 Silberschatz,

More information

Implementation Guides for a Homogeneous Architecture for Power Policy Integration in Operating Systems

Implementation Guides for a Homogeneous Architecture for Power Policy Integration in Operating Systems Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 3-15-2007 Implementation Guides for a Homogeneous Architecture for Power Policy Integration in Operating Systems

More information

Energy-Efficient Resource Allocation and Provisioning Framework for Cloud Data Centers

Energy-Efficient Resource Allocation and Provisioning Framework for Cloud Data Centers Energy-Efficient Resource Allocation and Provisioning Framework for Cloud Data Centers 1 Mehiar Dabbagh, Bechir Hamdaoui, Mohsen Guizani and Ammar Rayes Oregon State University, Corvallis, OR 97331, dabbaghm,hamdaoub@onid.orst.edu

More information

CPU Scheduling. CSE 2431: Introduction to Operating Systems Reading: Chapter 6, [OSC] (except Sections )

CPU Scheduling. CSE 2431: Introduction to Operating Systems Reading: Chapter 6, [OSC] (except Sections ) CPU Scheduling CSE 2431: Introduction to Operating Systems Reading: Chapter 6, [OSC] (except Sections 6.7.2 6.8) 1 Contents Why Scheduling? Basic Concepts of Scheduling Scheduling Criteria A Basic Scheduling

More information

CSMA based Medium Access Control for Wireless Sensor Network

CSMA based Medium Access Control for Wireless Sensor Network CSMA based Medium Access Control for Wireless Sensor Network H. Hoang, Halmstad University Abstract Wireless sensor networks bring many challenges on implementation of Medium Access Control protocols because

More information

RTOS-BASED POWER MANAGEMENT IN EMBEDDED SYSTEMS

RTOS-BASED POWER MANAGEMENT IN EMBEDDED SYSTEMS RTOS-BASED POWER MANAGEMENT IN EMBEDDED SYSTEMS MUHAMED FAUZI BIN ABBAS SCHOOL OF COMPUTER ENGINEERING 2011 RTOS-BASED POWER MANAGEMENT IN EMBEDDED SYSTEMS MUHAMED FAUZI BIN ABBAS School of Computer Engineering

More information

Improving DRAM Performance by Parallelizing Refreshes with Accesses

Improving DRAM Performance by Parallelizing Refreshes with Accesses Improving DRAM Performance by Parallelizing Refreshes with Accesses Kevin Chang Donghyuk Lee, Zeshan Chishti, Alaa Alameldeen, Chris Wilkerson, Yoongu Kim, Onur Mutlu Executive Summary DRAM refresh interferes

More information

Markov Chains and Multiaccess Protocols: An. Introduction

Markov Chains and Multiaccess Protocols: An. Introduction Markov Chains and Multiaccess Protocols: An Introduction Laila Daniel and Krishnan Narayanan April 8, 2012 Outline of the talk Introduction to Markov Chain applications in Communication and Computer Science

More information

CS370 Operating Systems

CS370 Operating Systems CS370 Operating Systems Colorado State University Yashwant K Malaiya Fall 2017 Lecture 10 Slides based on Text by Silberschatz, Galvin, Gagne Various sources 1 1 Chapter 6: CPU Scheduling Basic Concepts

More information

CPU Scheduling: Objectives

CPU Scheduling: Objectives CPU Scheduling: Objectives CPU scheduling, the basis for multiprogrammed operating systems CPU-scheduling algorithms Evaluation criteria for selecting a CPU-scheduling algorithm for a particular system

More information

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas

FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS. Waqas Akram, Cirrus Logic Inc., Austin, Texas FILTER SYNTHESIS USING FINE-GRAIN DATA-FLOW GRAPHS Waqas Akram, Cirrus Logic Inc., Austin, Texas Abstract: This project is concerned with finding ways to synthesize hardware-efficient digital filters given

More information

ICS 180 Spring Embedded Systems. Introduction: What are Embedded Systems and what is so interesting about them?

ICS 180 Spring Embedded Systems. Introduction: What are Embedded Systems and what is so interesting about them? ICS 180 Spring 1999 Embedded Systems Introduction: What are Embedded Systems and what is so interesting about them? A. Veidenbaum Information and Computer Science University of California, Irvine. Outline

More information

Parallel Computing. Slides credit: M. Quinn book (chapter 3 slides), A Grama book (chapter 3 slides)

Parallel Computing. Slides credit: M. Quinn book (chapter 3 slides), A Grama book (chapter 3 slides) Parallel Computing 2012 Slides credit: M. Quinn book (chapter 3 slides), A Grama book (chapter 3 slides) Parallel Algorithm Design Outline Computational Model Design Methodology Partitioning Communication

More information

Overall Structure of RT Systems

Overall Structure of RT Systems Course Outline Introduction Characteristics of RTS Real Time Operating Systems (RTOS) OS support: scheduling, resource handling Real Time Programming Languages Language support, e.g. Ada tasking Scheduling

More information

INPUT-OUTPUT ORGANIZATION

INPUT-OUTPUT ORGANIZATION INPUT-OUTPUT ORGANIZATION Peripheral Devices: The Input / output organization of computer depends upon the size of computer and the peripherals connected to it. The I/O Subsystem of the computer, provides

More information

Ch. 13: Measuring Performance

Ch. 13: Measuring Performance Ch. 13: Measuring Performance Kenneth Mitchell School of Computing & Engineering, University of Missouri-Kansas City, Kansas City, MO 64110 Kenneth Mitchell, CS & EE dept., SCE, UMKC p. 1/3 Introduction

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Lecture 5: Performance Analysis I

Lecture 5: Performance Analysis I CS 6323 : Modeling and Inference Lecture 5: Performance Analysis I Prof. Gregory Provan Department of Computer Science University College Cork Slides: Based on M. Yin (Performability Analysis) Overview

More information

Multiprocessor and Real-Time Scheduling. Chapter 10

Multiprocessor and Real-Time Scheduling. Chapter 10 Multiprocessor and Real-Time Scheduling Chapter 10 1 Roadmap Multiprocessor Scheduling Real-Time Scheduling Linux Scheduling Unix SVR4 Scheduling Windows Scheduling Classifications of Multiprocessor Systems

More information

Kernel Korner AEM: A Scalable and Native Event Mechanism for Linux

Kernel Korner AEM: A Scalable and Native Event Mechanism for Linux Kernel Korner AEM: A Scalable and Native Event Mechanism for Linux Give your application the ability to register callbacks with the kernel. by Frédéric Rossi In a previous article [ An Event Mechanism

More information

System Architecture Directions for Networked Sensors[1]

System Architecture Directions for Networked Sensors[1] System Architecture Directions for Networked Sensors[1] Secure Sensor Networks Seminar presentation Eric Anderson System Architecture Directions for Networked Sensors[1] p. 1 Outline Sensor Network Characteristics

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information