Benchmarking of Dynamic Power Management Solutions. Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007

Size: px
Start display at page:

Download "Benchmarking of Dynamic Power Management Solutions. Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007"

Transcription

1 Benchmarking of Dynamic Power Management Solutions Frank Dols CELF Embedded Linux Conference Santa Clara, California (USA) April 19, 2007

2 Why Benchmarking?! From Here to There, 2000whatever Vendor NXP What do we get? 2 / 43

3 Presentation Outline: The context; Power management concepts. Hardware and software. Benchmarks; The process. Metrics. Findings. Conclusions. Relation to other work. What s next. 3 / 43

4 Area Of Interest Mobile/portable devices mostly exist of: 1. Battery. 2. Storage (flash disk, hard disk, ). 3. Display (LCD, ). 4. Speaker. 5. Broadband I/O (Bluetooth, UMTS, ). 6. Processing (CPU) We are initially only focusing on the optimization of the Processing power consumption. As next, we are also taking 1-5 into account. 4 / 43

5 Power Measurements LabView A board under test Measurements equipment: high precision DMM (digital Multi-Meters) Mp3 playback LabView measurements 5 / 43

6 Energy Consumers Energy saving methods trade performance or functionality for energy: Scaling performance of processors, memories and buses; Using various stand-by modes of peripherals. Energy saving is about supplying the right amount of performance at the right time. However, the future is unknown! other CPU Memory LCD DC/DC Energy consuming components in a typical audio/video playing mobile device. 6 / 43

7 Power Dissipation Basics E t = 0 ( C 2 ( V DD ) f c + VDD I Q ) dt Total Total Power Dissipation t 0 C 2 Dynamic ( V DD ) f c Power Dissipation + Leakage Power Dissipation t 0 V DD I lkg Reduce capacitance switched. Reduce switching currents. Reduce operating voltage. Reduce leakage current in active and standby modes of operation. Reduce operating voltage. 7 / 43

8 Dynamic Voltage Frequency Scaling (DVFS) Scales performance according to demand (using an estimation of future workload). Based on the fact that energy per clock cycle rises with frequency: P = ˆ V 2 Implemented by switching between operating points (voltage and frequency pairs). f Applications, task scheduler, or other source of information Workload estimation Clock Generator Voltage Controller Processor or memory 8 / 43

9 DVFS: How Does it Save Power 100% CPU usage Performance Full speed 50% CPU usage, no DVFS Performance Power 50% speed Idle 50% CPU usage, with DVFS Performance Power Optimal! Power High f,v Stand-by power Low f,v Time Energy used Time Time 9 / 43

10 Performance Prediction Methods Interval-based: use CPU-usage of previous interval(s) to determine frequency for next interval. 100% 0% task / workload operating frequency Problem: late reaction on changing workloads missed deadlines 100% 0% 10 / 43

11 Performance Prediction Methods Application-directed: use information from the application to change frequencies. 100% 0% task / workload operating frequency Problems: requires changes in the application; not always possible (interactive applications). 11 / 43

12 Dynamic Power Management (DPM) Concept Apps. Policy Policy Evaluation Apps. Apps. OS DPM Software Policy PolicyPolicy Required Performance % of max. performance Volts MHz Apps. DPM software connects to OS kernel and collects data, with collected data, and usage of policies, try to predict future workload. Multiple policies categorize software workload. Single global prediction of future performance is made. 12 / 43

13 Application directed DVFS Two main groups of mobile applications: Interactive Internet browsing Gaming Streaming Audio decoding Video decoding Future workload unknown (depends on user input) Future workload known to application 13 / 43

14 Presentation Outline: The context; Power management concepts. Hardware and software. Benchmarks; The process. Metrics. Findings. Conclusions. Relation to other work. What s next. 14 / 43

15 Benchmark Process Plan: Define Key Performance Indicators (KPI). Define test to measure KPI. Do: Measurement on evaluation platform. Check: Analyze gained results and can they be explained. When necessary, cross verify with Vendor. Act: Take necessary actions on gained results. 15 / 43

16 Key Performance Indicators (KPI) Memory usage. Footprint of DPM framework and administration. CPU usage. Cycles consumed by DPM framework. System behavior, predictability & reproducibility. System idleness. Prediction of optimum frequency (minimization of idleness). Real time behavior. Application deadlines missed. Responsiveness (latency on events). DPM Policy prediction accuracy. 16 / 43

17 Test / Use Cases Synthetic (fine-grained) benchmark, Simulate different workload levels, Test corner cases. LMbench as available from Open Source; lat_proc, lat_syscall, memory, clock, idle, Application level (coarse-grained) benchmark: Whetstone & Dhrystone (artificial system load). Hartstone (real time behavior). Video decoding, ffplay mpeg video decoding use case. use ffplay, part of ffmpeg. Audio decoding, mp3 audio decoding use case. use ARM MP3 decoding library. 17 / 43

18 Metrics for SW based Benchmarking Missed deadlines; Overdue time; Idle time; Jitter; Responsiveness. 18 / 43

19 Missed Deadlines When operating frequency is too low, deadlines are missed: Power Power Time Time 19 / 43

20 Overdue Time Are missed deadlines caused by timing inaccuracy, or by performance deficiency? Overdue time Power Power Time Time 20 / 43

21 Idle Time When operating frequency is too high, extra slack time is introduced, and power is wasted: Optimal scenario Power Power Power Time Time Time 21 / 43

22 Jitter Execution times vary, so time of completion varies as well: completion Power arrival Arrival times are periodic, but completion times vary Jitter Time 22 / 43

23 In Summary, DPM Metrics Missed deadlines (OS schedule); Overdue Time Overdue time; Idle time; Power Power Jitter (fluctuation in Completion time); Responsiveness. Time Time Idle Time Missed Deadline Completion Time 23 / 43

24 NXP s DVFS Benchmark Platform Energizer I SoC; ARM1176JZF-s, DVFS-enabled (core also), CPU voltage can be set in 25 mv increments, CPU frequency can be set using 2 PLL s (300MHz and 400MHz by default) and a divider. Energizer I Software; Linux , CPUfreq and PowerOP. MV s DPM framework ported but not used. 24 / 43

25 CPU Usage Characteristics No DPM 25 / 43 45,9 48,6 51,3 0 2,7 5,4 8,1 10,8 13,5 16,2 18,9 21,6 24, ,7 32,4 35,1 37,8 40,5 43, ,7 59,4 62,1 time (s) DPM Load CPU usage Exponential Weighed Average Policy 0 1,9 3,8 5,7 47,5 49,4 51,3 7,6 9,5 11,4 13,3 performance (%) 15,2 17, ,9 22,8 24,7 26,6 28,5 30,4 32,3 34,2 36, ,9 41,8 43,7 45,6 53,2 55, ,9 60,8 62,7 CPU usage, applied policy reacts slow on required performance. Moving Average Policy time (s) DPM Load CPU usage performance (%) ,9 3,8 5,7 7,6 9,5 11,4 13,3 15,2 17, ,9 22,8 24,7 26,6 28,5 30,4 32,3 34,2 36, ,9 41,8 43,7 45,6 47,5 49,4 51,3 53,2 55, ,9 60,8 62,7 performance (%) time (s) DPM Load CPU usage

26 DPM; Synthetic Workload 120 Late Response 100 performance Headroom time (1 st ) Synthetic Workload (2 nd ) DPM, Predicted Performance Requirement (3 rd ) Actual CPU Usage (Exponential Weighed Average Policy) 26 / 43

27 Application Level Benchmark Video playback (DivX). Open-Source ffplay using frame-buffer device. Instrumented with time measurements. Evaluation: How many hard deadlines are missed with & without DPM. 27 / 43

28 ffplay Deadlines ffplay deadline: time interval in between displaying of successive (decoded) frames. - For 10 fps movie -> the deadline is 100 ms. - With DPM activated, fluctuation increases. - REMARK: video output (display) on CompactPresenter via Compact flash interface, results in high fluctuation oops!! (see next slide). ffplay@10fps us frames 0 28 / 43

29 Kernel & User Space Activity While running ffplay, sample the CPU activity in both kernel and user space (based on /proc/stat). Kernel activity dominates during the video playback CPU usage, % user kernel time, us 29 / 43

30 DPM; Video Decoding System Overload 100 deadlines missed / idle time (%) Power Savings Policy Overhead Performance Impact frames per second idle idle DPM deadlines deadlines DPM (Exponential Weighed Average Policy) 30 / 43

31 Video Decoding Jitter jitter (milliseconds) Clock scaling causes jitter increase Jitter metric gets polluted by high number of deadline misses frames per second jitter jitter DPM 31 / 43

32 Video Decoding and Hints CITY_5fps.avi frequency (MHz) time (s) No DPM DPM, No hints DPM, with Hints 32 / 43

33 Comparison When application-directed hints are enabled; idle time reduction increases, effective in a wider frame rate window. 80 frames per second 5 7, ,5 15 idle time (%) DPM without hints is only effective at low workloads ,3 3,55 4,8 6,05 7,3 Custom DPM disabled Custom DPM enabled, without hints Custom DPM enabled, with hints DPM disabled DPM enabled 33 / 43

34 Presentation Outline: The context; Power management concepts. Hardware and software. Relation to other work. Benchmarks; The process. Metrics. Findings. Conclusions. Relation to other work. What s next. 34 / 43

35 Technology Conclusions Dynamic Power Management (DPM). Added value is in policies. Performs best on low workloads. Adaptation to changing workload is heavily dependent on chosen policy. As application developer, you have to develop your own policies. Be aware, policy adds overhead. Applications cannot feed data directly into DPM. Performance prediction based on logging application history does not always work. The best performance prediction may come from the application itself (feed forward)! Hinting can give improvements over an interval based approach. 35 / 43

36 Conclusions Interval based DPM at CPU-level results in higher CPU utilisation, but seems only really effective at low workloads; Precise energy saving figures should be measured using HW measuring tools, but SW benchmarks give good insight in saving potentials and consequences on real-time behaviour. SoC is not the biggest power consumer. Backlight, DC/DC converters & power amplifiers are big consumers, by optimizing these, most can be gained. 36 / 43

37 What s Next 1. CELF (you guys), MIPI PM working group - Matt Locke and his team 2. Mode switching. 3. Correlation between hardware and software; - What to solve at which level? 4. Deadline based scheduling, from priority based to time based. 5. Start contributing to the Community on this. Regarding bullet 1, 2 & 3, see extra slides 37 / 43

38 Overview of Open Source Power Mgt. Software CPU power management Device power management Standby (low power mode) when idle Dynamic Voltage/ Frequency Scaling Suspend complete system (memory) bus frequency scaling Suspend/ Resume of devices Task scheduler CPUfreq APM/ ACPI Power OP Linux Device Model (also look at Mark Gross presentation) 38 / 43

39 Mode Transitions PM policy (performance monitoring, decision taking) Mode transition in sw domain (warm boot, state restore, sequencing) app Mode transition in sw domain (state save, sequencing, PM infra control) policy Mode transition in hw domain (sequencing, communication) Mode transition in hw domain (communication, Voltage/ Frequency settling, sequencing) app slp_sw wu_sw slp_hw wu_hw ACTIVE mode transition LP mode transition ACTIVE mode event 39 / 43

40 Improving power management through co-design of hardware and software Typical Hardware aided Other ideas for improving power management Performance counters for other peripherals: Cache miss rate is an indicator for memory bus usage of the CPU. Hardware aided workload prediction algorithms (in embedded FPGA): DVFS algorithm in FPGA, instead of software; Enables fast calculation of estimations and quick operating point changes; Algorithm can be changed for every use case because of the use of an FPGA. Software Applications Policy manager OS Workload prediction algorithm FPGA DVFS Hardware (clock generator, voltage controller) More hardware acceleration for specific applications: Needs discussion with SW developers in early stage about which parts can be accelerated; Enables running on a lower frequency, and as such saving energy. Hardware 40 / 43

41 To come to the End. 41 / 43

42 We Started With the Question: Why Benchmarking? From Here to There, 2000whatever Do your homework, don t bring in the Trojan Horse! 42 / 43

43 43 / 43

44 NXP Semiconductors Established in 2006 (formerly a division of Philips) Builds on a heritage of 50+ years of experience in semiconductors Provides engineers and designers with semiconductors and software that deliver better sensory experiences Top-10 supplier with Sales of Bln (2006) Sales: 35% Greater China, 31% Rest of Asia, 25% Europe, 9% North America Headquarters: Eindhoven, The Netherlands Key focus areas: Mobile & Personal, Home, Automotive & Identification, Multimarket Semiconductors Owner of NXP Software: a fully independent software solutions company 44 / 43

45 Where to Find Us Operating systems Knowledge Centre (OKC) phone: fax: Web: address: High Tech Campus 46 location AE Eindhoven (NL) 45 / 43

46 46 / 43

Frequency and Voltage Scaling Design. Ruixing Yang

Frequency and Voltage Scaling Design. Ruixing Yang Frequency and Voltage Scaling Design Ruixing Yang 04.12.2008 Outline Dynamic Power and Energy Voltage Scaling Approaches Dynamic Voltage and Frequency Scaling (DVFS) CPU subsystem issues Adaptive Voltages

More information

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks

Last Time. Making correct concurrent programs. Maintaining invariants Avoiding deadlocks Last Time Making correct concurrent programs Maintaining invariants Avoiding deadlocks Today Power management Hardware capabilities Software management strategies Power and Energy Review Energy is power

More information

Attack Your SoC Power Challenges with Virtual Prototyping

Attack Your SoC Power Challenges with Virtual Prototyping Attack Your SoC Power Challenges with Virtual Prototyping Stefan Thiel Gunnar Braun Accellera Systems Initiative 1 Agenda Part #1: Power-aware Architecture Definition Part #2: Power-aware Software Development

More information

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey System-on-Chip Architecture for Mobile Applications Sabyasachi Dey Email: sabyasachi.dey@gmail.com Agenda What is Mobile Application Platform Challenges Key Architecture Focus Areas Conclusion Mobile Revolution

More information

15: OS Scheduling and Buffering

15: OS Scheduling and Buffering 15: OS Scheduling and ing Mark Handley Typical Audio Pipeline (sender) Sending Host Audio Device Application A->D Device Kernel App Compress Encode for net RTP ed pending DMA to host (~10ms according to

More information

LOWERING POWER CONSUMPTION OF HEVC DECODING. Chi Ching Chi Techinische Universität Berlin - AES PEGPUM 2014

LOWERING POWER CONSUMPTION OF HEVC DECODING. Chi Ching Chi Techinische Universität Berlin - AES PEGPUM 2014 LOWERING POWER CONSUMPTION OF HEVC DECODING Chi Ching Chi Techinische Universität Berlin - AES PEGPUM 2014 Introduction How to achieve low power HEVC video decoding? Modern processors expose many low power

More information

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications

Age nda. Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications Intel PXA27x Processor Family: An Applications Processor for Phone and PDA applications N.C. Paver PhD Architect Intel Corporation Hot Chips 16 August 2004 Age nda Overview of the Intel PXA27X processor

More information

Multiprocessor Systems. Chapter 8, 8.1

Multiprocessor Systems. Chapter 8, 8.1 Multiprocessor Systems Chapter 8, 8.1 1 Learning Outcomes An understanding of the structure and limits of multiprocessor hardware. An appreciation of approaches to operating system support for multiprocessor

More information

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform

Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform Techniques for Optimizing Performance and Energy Consumption: Results of a Case Study on an ARM9 Platform BL Standard IC s, PL Microcontrollers October 2007 Outline LPC3180 Description What makes this

More information

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform

SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform SH-Mobile3: Application Processor for 3G Cellular Phones on a Low-Power SoC Design Platform H. Mizuno, N. Irie, K. Uchiyama, Y. Yanagisawa 1, S. Yoshioka 1, I. Kawasaki 1, and T. Hattori 2 Hitachi Ltd.,

More information

QoS Handling with DVFS (CPUfreq & Devfreq)

QoS Handling with DVFS (CPUfreq & Devfreq) QoS Handling with DVFS (CPUfreq & Devfreq) MyungJoo Ham SW Center, 1 Performance Issues of DVFS Performance Sucks w/ DVFS! Battery-life Still Matters More Devices (components) w/ DVFS More Performance

More information

Multiprocessor System. Multiprocessor Systems. Bus Based UMA. Types of Multiprocessors (MPs) Cache Consistency. Bus Based UMA. Chapter 8, 8.

Multiprocessor System. Multiprocessor Systems. Bus Based UMA. Types of Multiprocessors (MPs) Cache Consistency. Bus Based UMA. Chapter 8, 8. Multiprocessor System Multiprocessor Systems Chapter 8, 8.1 We will look at shared-memory multiprocessors More than one processor sharing the same memory A single CPU can only go so fast Use more than

More information

Leakage Mitigation Techniques in Smartphone SoCs

Leakage Mitigation Techniques in Smartphone SoCs Leakage Mitigation Techniques in Smartphone SoCs 1 John Redmond 1 Broadcom International Symposium on Low Power Electronics and Design Smartphone Use Cases Power Device Convergence Diverse Use Cases Camera

More information

A Cool Scheduler for Multi-Core Systems Exploiting Program Phases

A Cool Scheduler for Multi-Core Systems Exploiting Program Phases IEEE TRANSACTIONS ON COMPUTERS, VOL. 63, NO. 5, MAY 2014 1061 A Cool Scheduler for Multi-Core Systems Exploiting Program Phases Zhiming Zhang and J. Morris Chang, Senior Member, IEEE Abstract Rapid growth

More information

Multiprocessor Systems. COMP s1

Multiprocessor Systems. COMP s1 Multiprocessor Systems 1 Multiprocessor System We will look at shared-memory multiprocessors More than one processor sharing the same memory A single CPU can only go so fast Use more than one CPU to improve

More information

Tips and Tricks: Designing low power Native and WebApps. Harita Chilukuri and Abhishek Dhanotia

Tips and Tricks: Designing low power Native and WebApps. Harita Chilukuri and Abhishek Dhanotia Tips and Tricks: Designing low power Native and WebApps Harita Chilukuri and Abhishek Dhanotia Acknowledgements William Baughman for his help with the browser analysis Ross Burton & Thomas Wood for information

More information

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd Optimizing ARM SoC s with Carbon Performance Analysis Kits ARM Technical Symposia, Fall 2014 Andy Ladd Evolving System Requirements Processor Advances big.little Multicore Unicore DSP Cortex -R7 Block

More information

Low Power System-on-Chip Design Chapters 3-4

Low Power System-on-Chip Design Chapters 3-4 1 Low Power System-on-Chip Design Chapters 3-4 Tomasz Patyk 2 Chapter 3: Multi-Voltage Design Challenges in Multi-Voltage Designs Voltage Scaling Interfaces Timing Issues in Multi-Voltage Designs Power

More information

Embedded Systems: Hardware Components (part I) Todor Stefanov

Embedded Systems: Hardware Components (part I) Todor Stefanov Embedded Systems: Hardware Components (part I) Todor Stefanov Leiden Embedded Research Center Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded System

More information

Understanding the performance of an X user environment

Understanding the performance of an X user environment Understanding the performance of an X550 11-user environment Overview NComputing s desktop virtualization technology enables significantly lower computing costs by letting multiple users share a single

More information

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware.

Department of Computer Science, Institute for System Architecture, Operating Systems Group. Real-Time Systems '08 / '09. Hardware. Department of Computer Science, Institute for System Architecture, Operating Systems Group Real-Time Systems '08 / '09 Hardware Marcus Völp Outlook Hardware is Source of Unpredictability Caches Pipeline

More information

Example Networks on chip Freescale: MPC Telematics chip

Example Networks on chip Freescale: MPC Telematics chip Lecture 22: Interconnects & I/O Administration Take QUIZ 16 over P&H 6.6-10, 6.12-14 before 11:59pm Project: Cache Simulator, Due April 29, 2010 NEW OFFICE HOUR TIME: Tuesday 1-2, McKinley Exams in ACES

More information

Enabling Arm DynamIQ support. Dan Handley (Arm) Ionela Voinescu (Arm) Vincent Guittot (Linaro)

Enabling Arm DynamIQ support. Dan Handley (Arm) Ionela Voinescu (Arm) Vincent Guittot (Linaro) Enabling Arm DynamIQ support Dan Handley (Arm) Ionela Voinescu (Arm) Vincent Guittot (Linaro) Agenda DynamIQ introduction DynamIQ and Arm Trusted Firmware OS Power Management with DynamIQ L3 partial power-down

More information

How to Power Tune a Device Running on a Linux Kernel for Better Suspend Battery Life ELC2011

How to Power Tune a Device Running on a Linux Kernel for Better Suspend Battery Life ELC2011 How to Power Tune a Device Running on a Linux Kernel for Better Suspend Battery Life Mark.gross@intel.com ELC2011 Introduction Based on a true story! Started with 2 weeks of focused effort hardening suspend

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 24

ECE 571 Advanced Microprocessor-Based Design Lecture 24 ECE 571 Advanced Microprocessor-Based Design Lecture 24 Vince Weaver http://www.eece.maine.edu/ vweaver vincent.weaver@maine.edu 25 April 2013 Project/HW Reminder Project Presentations. 15-20 minutes.

More information

POWER MANAGEMENT AND ENERGY EFFICIENCY

POWER MANAGEMENT AND ENERGY EFFICIENCY POWER MANAGEMENT AND ENERGY EFFICIENCY * Adopted Power Management for Embedded Systems, Minsoo Ryu 2017 Operating Systems Design Euiseong Seo (euiseong@skku.edu) Need for Power Management Power consumption

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Self Learning Hard Disk Power Management for Mobile Devices

Self Learning Hard Disk Power Management for Mobile Devices Self Learning Hard Disk Power Management for Mobile Devices Andreas Weissel weissel@cs.fau.de, http://www4.cs.fau.de Department of Computer Sciences 4 Distributed Systems and Operating Systems Friedrich

More information

Jae Wook Lee. SIC R&D Lab. LG Electronics

Jae Wook Lee. SIC R&D Lab. LG Electronics Jae Wook Lee SIC R&D Lab. LG Electronics Contents Introduction Why power validation on mobile application processor? Then, what to validate? Who is in charge of validation? Power Validation Components

More information

How to get realistic C-states latency and residency? Vincent Guittot

How to get realistic C-states latency and residency? Vincent Guittot How to get realistic C-states latency and residency? Vincent Guittot Agenda Overview Exit latency Enter latency Residency Conclusion Overview Overview PMWG uses hikey960 for testing our dev on b/l system

More information

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design

ECE 1160/2160 Embedded Systems Design. Midterm Review. Wei Gao. ECE 1160/2160 Embedded Systems Design ECE 1160/2160 Embedded Systems Design Midterm Review Wei Gao ECE 1160/2160 Embedded Systems Design 1 Midterm Exam When: next Monday (10/16) 4:30-5:45pm Where: Benedum G26 15% of your final grade What about:

More information

Lecture 15. Power Management II Devices and Algorithms CM0256

Lecture 15. Power Management II Devices and Algorithms CM0256 Lecture 15 Power Management II Devices and Algorithms CM0256 Power Management Power Management is a way for the computer or other device to save power by turning off certain features of the computer such

More information

Power and Energy Management. Advanced Operating Systems, Semester 2, 2011, UNSW Etienne Le Sueur

Power and Energy Management. Advanced Operating Systems, Semester 2, 2011, UNSW Etienne Le Sueur Power and Energy Management Advanced Operating Systems, Semester 2, 2011, UNSW Etienne Le Sueur etienne.lesueur@nicta.com.au Outline Introduction, Hardware mechanisms, Some interesting research, Linux,

More information

CS370: System Architecture & Software [Fall 2014] Dept. Of Computer Science, Colorado State University

CS370: System Architecture & Software [Fall 2014] Dept. Of Computer Science, Colorado State University Frequently asked questions from the previous class survey CS 370: SYSTEM ARCHITECTURE & SOFTWARE [CPU SCHEDULING] Shrideep Pallickara Computer Science Colorado State University OpenMP compiler directives

More information

Power and Energy Management

Power and Energy Management Power and Energy Management Advanced Operating Systems, Semester 2, 2011, UNSW Etienne Le Sueur etienne.lesueur@nicta.com.au Outline Introduction, Hardware mechanisms, Some interesting research, Linux,

More information

Embedded Linux Conference San Diego 2016

Embedded Linux Conference San Diego 2016 Embedded Linux Conference San Diego 2016 Linux Power Management Optimization on the Nvidia Jetson Platform Merlin Friesen merlin@gg-research.com About You Target Audience - The presentation is introductory

More information

Power Management as I knew it. Jim Kardach

Power Management as I knew it. Jim Kardach Power Management as I knew it Jim Kardach 1 Agenda Philosophy of power management PM Timeline Era of OS Specific PM (OSSPM) Era of OS independent PM (OSIPM) Era of OS Assisted PM (APM) Era of OS & hardware

More information

Power Management for Embedded Systems

Power Management for Embedded Systems Power Management for Embedded Systems Minsoo Ryu Hanyang University Why Power Management? Battery-operated devices Smartphones, digital cameras, and laptops use batteries Power savings and battery run

More information

19: I/O Devices: Clocks, Power Management

19: I/O Devices: Clocks, Power Management 19: I/O Devices: Clocks, Power Management Mark Handley Clock Hardware: A Programmable Clock Pulses Counter, decremented on each pulse Crystal Oscillator On zero, generate interrupt and reload from holding

More information

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications

Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Session 8D-2 Development of Low Power and High Performance Application Processor (T6G) for Multimedia Mobile Applications Yoshiyuki Kitasho, Yu Kikuchi, Takayoshi Shimazawa, Yasuo Ohara, Masafumi Takahashi,

More information

Heterogeneous Architecture. Luca Benini

Heterogeneous Architecture. Luca Benini Heterogeneous Architecture Luca Benini lbenini@iis.ee.ethz.ch Intel s Broadwell 03.05.2016 2 Qualcomm s Snapdragon 810 03.05.2016 3 AMD Bristol Ridge Departement Informationstechnologie und Elektrotechnik

More information

AND9407/D Low Power Techniques of LC Series for Audio Applications

AND9407/D Low Power Techniques of LC Series for Audio Applications Low Power Techniques of LC823450 Series for Audio Applications Introduction This application note describes low power techniques to enable customers to control the power consumption to meet their operation

More information

Empirical Approximation and Impact on Schedulability

Empirical Approximation and Impact on Schedulability Cache-Related Preemption and Migration Delays: Empirical Approximation and Impact on Schedulability OSPERT 2010, Brussels July 6, 2010 Andrea Bastoni University of Rome Tor Vergata Björn B. Brandenburg

More information

Extending the Lifetime of SSD Controller

Extending the Lifetime of SSD Controller Extending the Lifetime of SSD Controller Author: Deepak Shankar Tel : 408-569-1704 Fax : 408-519-6719 Email: dshankar@mirabilisdesign.com Website : http://www.mirabilisdesign.com/ Abstract Developed performance

More information

The Role of Performance

The Role of Performance Orange Coast College Business Division Computer Science Department CS 116- Computer Architecture The Role of Performance What is performance? A set of metrics that allow us to compare two different hardware

More information

Towards Power Management for FreeBSD

Towards Power Management for FreeBSD Towards Power Management for FreeBSD Robin Randhawa robin.randhawa@arm.com FreeBSD Developer Summit Computer Laboratory University of Cambridge August 2015 Agenda An overview of Energy Aware Scheduling

More information

Frequently asked questions from the previous class survey

Frequently asked questions from the previous class survey CS 370: OPERATING SYSTEMS [CPU SCHEDULING] Shrideep Pallickara Computer Science Colorado State University L14.1 Frequently asked questions from the previous class survey Turnstiles: Queue for threads blocked

More information

Low Power System Design

Low Power System Design Low Power System Design Module 18-1 (1.5 hours): Case study: System-Level Power Estimation and Reduction Jan. 2007 Naehyuck Chang EECS/CSE Seoul National University Contents In-house tools for low-power

More information

Learning Outcomes. Scheduling. Is scheduling important? What is Scheduling? Application Behaviour. Is scheduling important?

Learning Outcomes. Scheduling. Is scheduling important? What is Scheduling? Application Behaviour. Is scheduling important? Learning Outcomes Scheduling Understand the role of the scheduler, and how its behaviour influences the performance of the system. Know the difference between I/O-bound and CPU-bound tasks, and how they

More information

Multiprocessor Support

Multiprocessor Support CSC 256/456: Operating Systems Multiprocessor Support John Criswell University of Rochester 1 Outline Multiprocessor hardware Types of multi-processor workloads Operating system issues Where to run the

More information

Fault tolerance in consumer products. Ben Pronk

Fault tolerance in consumer products. Ben Pronk Fault tolerance in consumer products Ben Pronk Content Consumer electronics, some background Reliability and software in consumer products Current solutions Future outllok 2 Consumer electronics, some

More information

ARM Vision for Thermal Management and Energy Aware Scheduling on Linux

ARM Vision for Thermal Management and Energy Aware Scheduling on Linux ARM Vision for Management and Energy Aware Scheduling on Linux Charles Garcia-Tobin, Software Power Architect, ARM Thomas Molgaard, Director of Product Management, ARM ARM Tech Symposia China 2015 November

More information

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications September 2013 Navigating between ever-higher performance targets and strict limits

More information

Processes. CS 475, Spring 2018 Concurrent & Distributed Systems

Processes. CS 475, Spring 2018 Concurrent & Distributed Systems Processes CS 475, Spring 2018 Concurrent & Distributed Systems Review: Abstractions 2 Review: Concurrency & Parallelism 4 different things: T1 T2 T3 T4 Concurrency: (1 processor) Time T1 T2 T3 T4 T1 T1

More information

Classification of Semiconductor LSI

Classification of Semiconductor LSI Classification of Semiconductor LSI 1. Logic LSI: ASIC: Application Specific LSI (you have to develop. HIGH COST!) For only mass production. ASSP: Application Specific Standard Product (you can buy. Low

More information

N-Series SoC Based Thin Clients

N-Series SoC Based Thin Clients N-Series SoC Based Thin Clients User experience and performance analysis versus HP Thin Clients Executive Summary Desktop virtualization using thin clients can be challenging, and choosing the right thin

More information

Introduction to Embedded Systems. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Introduction to Embedded Systems. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Introduction to Embedded Systems Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Embedded Systems Everywhere 2 What are Embedded Systems? Definition

More information

Moorestown Platform: Based on Lincroft SoC Designed for Next Generation Smartphones

Moorestown Platform: Based on Lincroft SoC Designed for Next Generation Smartphones Moorestown Platform: Based on Lincroft SoC Designed for Next Generation Smartphones HOT CHIPS 2009 August 24 2009 Rajesh Patel Lead Architect, Lincroft SoC Intel Corporation Legal Disclaimer INFORMATION

More information

CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER

CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER 73 CHAPTER 7 IMPLEMENTATION OF DYNAMIC VOLTAGE SCALING IN LINUX SCHEDULER 7.1 INTRODUCTION The proposed DVS algorithm is implemented on DELL INSPIRON 6000 model laptop, which has Intel Pentium Mobile Processor

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Stefan Kowalewski, 4. November 25 Introduction to Embedded Systems Part 2: Microcontrollers. Basics 2. Structure/elements 3. Digital I/O 4. Interrupts 5. Timers/Counters Introduction to Embedded Systems

More information

Input Output (IO) Management

Input Output (IO) Management Input Output (IO) Management Prof. P.C.P. Bhatt P.C.P Bhatt OS/M5/V1/2004 1 Introduction Humans interact with machines by providing information through IO devices. Manyon-line services are availed through

More information

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management Next-Generation Mobile Computing: Balancing Performance and Power Efficiency HOT CHIPS 19 Jonathan Owen, AMD Agenda The mobile computing evolution The Griffin architecture Memory enhancements Power management

More information

Measuring Interrupt Latency

Measuring Interrupt Latency NXP Semiconductors Document Number: AN12078 Application Note Rev. 0, 10/2017 Measuring Interrupt Latency 1. Introduction The term interrupt latency refers to the delay between the start of an Interrupt

More information

Mobile Processors. Jose R. Ortiz Ubarri

Mobile Processors. Jose R. Ortiz Ubarri Mobile Processors Jose R. Ortiz Ubarri Electrical and Computer Engineering Department University of Puerto Rico, Mayagüez Campus Mayagüez, Puerto Rico 00681 5000 Jose.Ortiz@hpcf.upr.edu Introduction While

More information

Chapter 8 & Chapter 9 Main Memory & Virtual Memory

Chapter 8 & Chapter 9 Main Memory & Virtual Memory Chapter 8 & Chapter 9 Main Memory & Virtual Memory 1. Various ways of organizing memory hardware. 2. Memory-management techniques: 1. Paging 2. Segmentation. Introduction Memory consists of a large array

More information

Power Measurement Using Performance Counters

Power Measurement Using Performance Counters Power Measurement Using Performance Counters October 2016 1 Introduction CPU s are based on complementary metal oxide semiconductor technology (CMOS). CMOS technology theoretically only dissipates power

More information

AN4777 Application note

AN4777 Application note Application note Implications of memory interface configurations on low-power STM32 microcontrollers Introduction The low-power STM32 microcontrollers have a rich variety of configuration options regarding

More information

ptop: A Process-level Power Profiling Tool

ptop: A Process-level Power Profiling Tool ptop: A Process-level Power Profiling Tool Thanh Do, Suhib Rawshdeh, and Weisong Shi Wayne State University {thanh, suhib, weisong}@wayne.edu ABSTRACT We solve the problem of estimating the amount of energy

More information

Reporting Performance Results

Reporting Performance Results Reporting Performance Results The guiding principle of reporting performance measurements should be reproducibility - another experimenter would need to duplicate the results. However: A system s software

More information

Building Ultra-Low Power Wearable SoCs

Building Ultra-Low Power Wearable SoCs Building Ultra-Low Power Wearable SoCs 1 Wearable noun An item that can be worn adjective Easy to wear, suitable for wearing 2 Wearable Opportunity: Fastest Growing Market Segment Projected Growth from

More information

Enyx soft-hardware design services and development framework for FPGA & SoC

Enyx soft-hardware design services and development framework for FPGA & SoC soft-hardware design services and development framework for FPGA & SoC Smart NIC Smart Switch Your custom hardware hardware acceleration experts 3rd party IP Cores AXI ARM DMA CPU Your own soft-hardware

More information

I/O Systems (3): Clocks and Timers. CSE 2431: Introduction to Operating Systems

I/O Systems (3): Clocks and Timers. CSE 2431: Introduction to Operating Systems I/O Systems (3): Clocks and Timers CSE 2431: Introduction to Operating Systems 1 Outline Clock Hardware Clock Software Soft Timers 2 Two Types of Clocks Simple clock: tied to the 110- or 220-volt power

More information

Silicon Motion s Graphics Display SoCs

Silicon Motion s Graphics Display SoCs WHITE PAPER Silicon Motion s Graphics Display SoCs Enable 4K High Definition and Low Power Power and bandwidth: the twin challenges of implementing a solution for bridging any computer to any high-definition

More information

Module 18: "TLP on Chip: HT/SMT and CMP" Lecture 39: "Simultaneous Multithreading and Chip-multiprocessing" TLP on Chip: HT/SMT and CMP SMT

Module 18: TLP on Chip: HT/SMT and CMP Lecture 39: Simultaneous Multithreading and Chip-multiprocessing TLP on Chip: HT/SMT and CMP SMT TLP on Chip: HT/SMT and CMP SMT Multi-threading Problems of SMT CMP Why CMP? Moore s law Power consumption? Clustered arch. ABCs of CMP Shared cache design Hierarchical MP file:///e /parallel_com_arch/lecture39/39_1.htm[6/13/2012

More information

Detection and Resolution of Real-Time Issues using TimeDoctor Embedded Linux Conference

Detection and Resolution of Real-Time Issues using TimeDoctor Embedded Linux Conference Detection and Resolution of Real-Time Issues using TimeDoctor Embedded Linux Conference David Legendre & François Audéon NXP Semiconductors Business Line Digital Video Systems Linz, November 2, 2007 Presentation

More information

Introduction to Embedded Systems

Introduction to Embedded Systems Introduction to Embedded Systems Jinkyu Jeong (Jinkyu@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu ICE3028: Embedded Systems Design, Fall 2018, Jinkyu Jeong (jinkyu@skku.edu)

More information

DevKit7000 Evaluation Kit

DevKit7000 Evaluation Kit DevKit7000 Evaluation Kit Samsung S5PV210 Processor based on 1GHz ARM Cortex-A8 core Onboard 512MByte DDR2 and 512MByte NAND Flash 4 UART, 4 USB Host, USB Device, Ethernet, Audio, TF, RTC,... Supports

More information

EECS750: Advanced Operating Systems. 2/24/2014 Heechul Yun

EECS750: Advanced Operating Systems. 2/24/2014 Heechul Yun EECS750: Advanced Operating Systems 2/24/2014 Heechul Yun 1 Administrative Project Feedback of your proposal will be sent by Wednesday Midterm report due on Apr. 2 3 pages: include intro, related work,

More information

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits NOC INTERCONNECT IMPROVES SOC ECONO CONOMICS Initial Investment is Low Compared to SoC Performance and Cost Benefits A s systems on chip (SoCs) have interconnect, along with its configuration, verification,

More information

Understanding Storage I/O Behaviors of Mobile Applications. Louisiana State University Department of Computer Science and Engineering

Understanding Storage I/O Behaviors of Mobile Applications. Louisiana State University Department of Computer Science and Engineering Understanding Storage I/O Behaviors of Mobile Applications Jace Courville jcourv@csc.lsu.edu Feng Chen fchen@csc.lsu.edu Louisiana State University Department of Computer Science and Engineering The Rise

More information

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC)

Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi. Lecture - 10 System on Chip (SOC) Embedded Systems Dr. Santanu Chaudhury Department of Electrical Engineering Indian Institute of Technology, Delhi Lecture - 10 System on Chip (SOC) In the last class, we had discussed digital signal processors.

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

Linux Power Management

Linux Power Management Linux Power Management Uses one of two types of management Advanced Power Management (APM) older BIOS base power management Advanced Configuration and Power Interface (ACPI) newer More operating system

More information

Transistors and Wires

Transistors and Wires Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis Part II These slides are based on the slides provided by the publisher. The slides

More information

XEEMU: An Improved XScale Power Simulator

XEEMU: An Improved XScale Power Simulator Department of Software Engineering (1), University of Szeged Department of Electrical Engineering (2), University of Kaiserslautern XEEMU: An Improved XScale Power Simulator Z. Herczeg(1), Á. Kiss (1),

More information

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics

Computer and Hardware Architecture II. Benny Thörnberg Associate Professor in Electronics Computer and Hardware Architecture II Benny Thörnberg Associate Professor in Electronics Parallelism Microscopic vs Macroscopic Microscopic parallelism hardware solutions inside system components providing

More information

AUTOBEST: A United AUTOSAR-OS And ARINC 653 Kernel. Alexander Züpke, Marc Bommert, Daniel Lohmann

AUTOBEST: A United AUTOSAR-OS And ARINC 653 Kernel. Alexander Züpke, Marc Bommert, Daniel Lohmann AUTOBEST: A United AUTOSAR-OS And ARINC 653 Kernel Alexander Züpke, Marc Bommert, Daniel Lohmann alexander.zuepke@hs-rm.de, marc.bommert@hs-rm.de, lohmann@cs.fau.de Motivation Automotive and Avionic industry

More information

Blackfin Optimizations for Performance and Power Consumption

Blackfin Optimizations for Performance and Power Consumption The World Leader in High Performance Signal Processing Solutions Blackfin Optimizations for Performance and Power Consumption Presented by: Merril Weiner Senior DSP Engineer About This Module This module

More information

CoreResetP v7.0. Handbook

CoreResetP v7.0. Handbook CoreResetP v7.0 Handbook CoreResetP v5.1 Handbook Table of Contents Introduction... 3 Core Overview... 3 Key Features... 4 Supported Microsemi FPGA Families... 4 Core Version... 4 Interface Description...

More information

Crusoe Processor Model TM5800

Crusoe Processor Model TM5800 Model TM5800 Crusoe TM Processor Model TM5800 Features VLIW processor and x86 Code Morphing TM software provide x86-compatible mobile platform solution Processors fabricated in latest 0.13µ process technology

More information

Chapter 3 - Top Level View of Computer Function

Chapter 3 - Top Level View of Computer Function Chapter 3 - Top Level View of Computer Function Luis Tarrataca luis.tarrataca@gmail.com CEFET-RJ L. Tarrataca Chapter 3 - Top Level View 1 / 127 Table of Contents I 1 Introduction 2 Computer Components

More information

I/O Design, I/O Subsystem, I/O-Handler Device Driver, Buffering, Disks, RAID January WT 2008/09

I/O Design, I/O Subsystem, I/O-Handler Device Driver, Buffering, Disks, RAID January WT 2008/09 21 I/O Management (1) I/O Design, I/O Subsystem, I/O-Handler Device Driver, Buffering, Disks, RAID January 26 2009 WT 2008/09 2009 Universität Karlsruhe, System Architecture Group 1 Recommended Reading

More information

Page 1. Program Performance Metrics. Program Performance Metrics. Amdahl s Law. 1 seq seq 1

Page 1. Program Performance Metrics. Program Performance Metrics. Amdahl s Law. 1 seq seq 1 Program Performance Metrics The parallel run time (Tpar) is the time from the moment when computation starts to the moment when the last processor finished his execution The speedup (S) is defined as the

More information

A Study on C-group controlled big.little Architecture

A Study on C-group controlled big.little Architecture A Study on C-group controlled big.little Architecture Renesas Electronics Corporation New Solutions Platform Business Division Renesas Solutions Corporation Advanced Software Platform Development Department

More information

Low-power Architecture. By: Jonathan Herbst Scott Duntley

Low-power Architecture. By: Jonathan Herbst Scott Duntley Low-power Architecture By: Jonathan Herbst Scott Duntley Why low power? Has become necessary with new-age demands: o Increasing design complexity o Demands of and for portable equipment Communication Media

More information

Persistent Memory. High Speed and Low Latency. White Paper M-WP006

Persistent Memory. High Speed and Low Latency. White Paper M-WP006 Persistent Memory High Speed and Low Latency White Paper M-WP6 Corporate Headquarters: 3987 Eureka Dr., Newark, CA 9456, USA Tel: (51) 623-1231 Fax: (51) 623-1434 E-mail: info@smartm.com Customer Service:

More information

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating He Qi, Oluseyi Ayorinde, and Benton H. Calhoun Charles L. Brown Department of Electrical

More information

Network Swapping. Outline Motivations HW and SW support for swapping under Linux OS

Network Swapping. Outline Motivations HW and SW support for swapping under Linux OS Network Swapping Emanuele Lattanzi, Andrea Acquaviva and Alessandro Bogliolo STI University of Urbino, ITALY Outline Motivations HW and SW support for swapping under Linux OS Local devices (CF, µhd) Network

More information

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI

OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI CMPE 655- MULTIPLE PROCESSOR SYSTEMS OVERHEADS ENHANCEMENT IN MUTIPLE PROCESSING SYSTEMS BY ANURAG REDDY GANKAT KARTHIK REDDY AKKATI What is MULTI PROCESSING?? Multiprocessing is the coordinated processing

More information

Operating System Support for Multimedia. Slides courtesy of Tay Vaughan Making Multimedia Work

Operating System Support for Multimedia. Slides courtesy of Tay Vaughan Making Multimedia Work Operating System Support for Multimedia Slides courtesy of Tay Vaughan Making Multimedia Work Why Study Multimedia? Improvements: Telecommunications Environments Communication Fun Outgrowth from industry

More information