Page 1. ElapC5 05/11/2012 ELETTRONICA APPLICATA E MISURE 2012 DDC 1. C5 Bus protocols. Ingegneria dell Informazione

Size: px
Start display at page:

Download "Page 1. ElapC5 05/11/2012 ELETTRONICA APPLICATA E MISURE 2012 DDC 1. C5 Bus protocols. Ingegneria dell Informazione"

Transcription

1 Ingegneria dell Informazione C5 Bus protocols ELETTRONIC PPLICT E MISURE Dante DEL CORSO Indirizzamento rbitraggio Parametri di prestazione Trasferimenti source sync. Trasferimenti DDR C5 PROTOCOLLI DI» Indirizzamento» rbitraggio» Parametri di prestazioni» Trasferimenti source sync.» Trasferimenti DDR 05//202 - ElapC5-202 DDC 05//202-2 ElapC5-202 DDC C5 ddressing and allocation Services provided by the cycle level Single-slave: addressing techniques Multimaster systems: channel allocation llocation model and basic techniques (TP, CD, arb) Priority, starvation, fairness Bus performance analysis Performance improvement techniques References D. Del Corso: Elettronica per Telecomunicazioni: cap. 5.4 M. Zamboni: Elettronica dei sistemi di interconnessione, cap..4 Transfer of information units (byte, word,...), with compliance to timing specification for correct writing into destination register. Information comes from a SOURCE, and is stored at the DESTINTION Extended protocols allows to handle also -to-n and N-to-M asynchronous INF transfer (N-partner protocols) Cycles realize RED or WRITE operations The service uses power and requires time 05//202-3 ElapC5-202 DDC 05//202-4 ElapC5-202 DDC Definition of transaction Point-to-point systems Sequence of one or more cycles, which moves information units with an associated meaning (address, data, priority vector, ) Transaction level elements: : activates the operations SLVE: responds to Master commands Point-to-point: The two elements exchanging information are already defined. Write: M S () M S SLVE Read: S M 3 05//202-5 ElapC5-202 DDC 05//202-6 ElapC5-202 DDC Page 202 DDC

2 Multipoint systems (busses) Bussed systems Several units share the same physical channel. First operation: select participants in the transfer SLVE The system configuration can be modified by adding/removing boards OPEN MODULR systems ll units must follow the same protocol What is a protocol? set of rule which interfaces must abide to carry out a correct information transfer Parameters: Number of boards Speed and width of transactions (throughput) Physical and electric structure (connector, format, levels,..) 05//202-7 ElapC5-202 DDC 05//202-8 ElapC5-202 DDC Busses vs point-to-point Transaction protocol Point-to-point links Several connection ports on each unit, with independent physical channels Well defined electrical conditions Needs routing from one unit to next ones Better speed performance set of point-to-point can emulate a bus Multipoint/bussed links Single port on each unit, single physical channel Variable electrical conditions (number of boards o the bus) Needs allocation and addressing Better modularity Mandatory in multipoint systems (busses). Specific cycles to select participating units selection of slave: ddressing selection of master: llocation (of the channel) The actual information transfer can be carried out only after these cycles LLOCTION DDRESSING TRNSFER 05//202-9 ElapC5-202 DDC 05//202-0 ElapC5-202 DDC Single-master, N-slave systems ddressing techniques No need to identify the master (already there) The participating slave is selected with an DDRESSING operation SLVE Two degrees of freedom Coded/Decoded Coded: N bits select among 2 N elements» Memory cell selection Decoded: M bits select M elements (single- or therm. code)» Direct selection of registers,» Memory cell selection after address decoder Logic/Geographic (position) Selection depends from the name of the slave unit» Memory, register bank Selection depends o the position (backplane slot) of the unit» Configuration identification (at startup) 05//202 - ElapC5-202 DDC 05//202-2 ElapC5-202 DDC Page DDC 2

3 Structure of slave units Logic vs geographic addressing ddress decoder Recognizes address issued by the master Uses comparators, decoders, Timing and control Creates internal command Handles handshake (is async/semisync) and data buffers Core Register, memory, operating units, Data buffers Unit load toward the bus Proper bus driving/sensing ddressing mode Coded: each slave is enabled by a multibit code (address) Linear: each bit enables a single slave» Multiple slaves can be selected in a single cycle Logic addressing Each unit has an address independent from position» Wired or programmed on the board Geographical addressing Slave address depend on position in the bus» ddress defined on the connector 05//202-3 ElapC5-202 DDC 05//202-4 ElapC5-202 DDC Logic addressing Geographic addressing Wired code Wired code Independent select commands Board select coded select commands (address) Board select Independent select commands Board select coded select commands (address) Board select 05//202-5 ElapC5-202 DDC 05//202-6 ElapC5-202 DDC C5 ddressing and allocation Transfers in multimaster systems Single-slave: addressing techniques Multimaster systems: channel allocation llocation model and basic techniques (TP, CD, arb) Priority, starvation, fairness Bus performance analysis Performance improvement techniques In a multimaster/multislave system the first part of the transaction must select the active Master/Slave pair SLVE 05//202-7 ElapC5-202 DDC 05//202-8 ElapC5-202 DDC Page DDC 3

4 Channel allocation Model of the allocation system The master which is allowed to initiate a transaction is selected with an LLOCTION (RBITRTION) Request-allocator-grant chain Goal: avoid collisions SLVE 05//202-9 ElapC5-202 DDC 05// ElapC5-202 DDC llocation techniques Examples of allocation techniques Collision: access to a resource by two users at the same time Must be avoided preliminary channel allocation llocation with token passing Round robin passing of GRNT (no REQUEST evaluation) llocation with collision detection GRNT to all requesters collisions llocation with arbitration Evaluation of REQUEST No collision Deterministic access Group of people: collision many talk at same time Meeting (few people) Token passing: GRNT) assigned in round robin Independent from presence of request, can be rejected Unsupervised group When nobody id speaking, anybody can start to talk Possible collision; detect, stop, retry (CSM-CD) Supervised groups central RBITER evaluates requests GRNT assigned following a rule (time, priority, ) No collision, deterministic access 05//202-2 ElapC5-202 DDC 05// ElapC5-202 DDC Bus allocation with arbitration rbitration policy Token passing Networks: Logic token (permission to use the resource) exchanged among potential masters Ripple arbiters (Daisy chain): Physical token (logic state) CSM/CD: Carrier Sense Multiple cc. Collision Detection Used in networks rbitration: used for parallel buses Requires an RBITER Centralized vs Distributed High efficiency FCFS (first Come First Served) Cannot get infinite time resolution» Events may be too close to be distinguishable Needs also some other mechanism Priority Sequences of high priority requests can block the system Starvation Need for Fairness mechanisms Fairness lock can act also as synchronizer Examples in the following slides 05// ElapC5-202 DDC 05// ElapC5-202 DDC Page DDC 4

5 First Come First Served arbitration Priority arbitration Requests pile-up Start of service Requests pile-up Start of service 05// ElapC5-202 DDC 05// ElapC5-202 DDC Starvation Fairness Sampling of requests service samp serv samp Requests pile-up Starvation (3 and 4 blocked) 05// ElapC5-202 DDC 05// ElapC5-202 DDC Fairness procedure C5 ddressing and allocation Freeze status of requests Take a snapshot of requests Serve received request Do not accept new ones s all pending requests have been served, Take a new snapshot and freeze Single-slave: addressing techniques Multimaster systems: channel allocation llocation model and basic techniques (TP, CD, arb) Priority, starvation, fairness Bus performance analysis Performance improvement techniques Repeat the sequence 05// ElapC5-202 DDC 05// ElapC5-202 DDC Page DDC 5

6 rbitration circuits Selection of the Master & Slave Basic circuit: priority encoder Linear Centralized: Combinatorial network; ND gates, blocking from all higher levels Distributed: Daisy chain; ND, with blocking from next higher level Coded Centralized Distributed» Parallel»Serial» Self-selection circuits (based on wired logic) Operation : selection of Master (channel allocation) Operation 2: selection of Slave (addressing) SLVE 05//202-3 ElapC5-202 DDC 05// ElapC5-202 DDC ctual data transfer Performance of a bus M and S selection builds a virtual P-to-P channel The pair of unit carries out the information transfer in the following cycle(s) SLVE mount of information transferred in a time unit: THROUGHPUT (T) T = W x S W: bus width (parallel, num bit/cycle) S: bus speed (cycles/second) if the cycle/transaction uses a time tc, S = /tc Cycle duration t C depends from: Electrical parameters: t TX, t K Module parameters: t SU, t H, t WR, t EN,... Protocol (transitions/cycle, protocol type, ) 05// ElapC5-202 DDC 05// ElapC5-202 DDC Protocol performance analysis Multiplexed bus Synchronous protocol (RD/WR) Speed depend from slowest device, Cycle time depends from t K in write operations, Cycle time depends from t TX in read operations (without pipeline) synchronous protocol daptive speed, depends from speed of involved devices Cycle time depends from t TX, both for RD and WR Worst: Semisynchronous Must wait for possible Wait request: delay > 2 t TX Best: Source Synchronous For RD/WR both units must be able to handle control signals Basic structure for parallel bus ddress and Data use separate wires/tracks Driver/receiver, tracks on backplane, connector pins are expensive resources» Use space»use power Reduce the number of wires by using the same connection for different signals: MULTIPLEXED ddress, data, other information use the same wires at different times. 05// ElapC5-202 DDC 05// ElapC5-202 DDC Page DDC 6

7 Parallel vs multiplexed busses Cycle performance improvement Parallel: separate lines for address and data DDRESS DTI CYCLE DD X X X X DD2 TRNSCTION CYCLE DT DT2 Multiplexed: address, data, other information, over a unique set of lines INF CYCLE DD CYCLE DT TRNSCTION DD2 DT2 Read and Write cycles The complete cycle requires two state transitions in each control signals Power consumption depends from number of transitions Data bandwidth less than control bandwidth (one transition/cycle vs two/cycle) Reduce the number of transitions on control signals DDR cycles Double Data Rate Use both transitions on commands (STB and CK) Higher complexity Reduced power consumption, higher speed 05// ElapC5-202 DDC 05// ElapC5-202 DDC Dual-edge handshake (DDR) Source synchronous cycles Remove useless control signals transitions Reduce power consumption INF STB CK INF STB CK t C t C INF INF t C INF2 In WRITE cycles INF and STB move in the same direction (Master Slave) Two timing parameters: Cycle duration (inverse of cycle rate = num of cycles / sec) Latency of data (how long to wait to get data) Latency depends from the transmission time t TX and from the skew t K Cycle rate depends from the skew t K not from the transmission time t TX SS protocols are used for high speed RMs (SSTL-2) 05// ElapC5-202 DDC 05// ElapC5-202 DDC Burst transfer Burst transfer interface Locality of SW: In most cases a packet of data/instructions is transferred to/from sequential memory locations. Only first address is sent Long packets doubled amount of INF in the same time bus DD STB board DD Standard memory: address is provided directly from the bus. MEMORY ddress cycle Data cycle Data cycle2 Data cyclen Block transfer memory board: address is locally generated by a controller. INF DD DT DT2 DT3 TRNSCTION DD STB bus board CONTR. DD MEMORY 05//202-4 ElapC5-202 DDC 05// ElapC5-202 DDC Page DDC 7

8 Burst transfer: DDR memory Beyond Source Synchronous Source Synchronous DDR block transfer DQS (Data Strobe) driven by memory (dual edge) 2 clock cycles latency With Source Synchronous protocol SS Read requires STB controlled by slave The access time is always there Better performance with pipeline latency cycle To increase speed the key is skew t K not t TX Skew comes from the use of separate physical channels (wires) for INF and STB How can we get rid of skew? Embedded clock and self-synchronizing modulations Code timing and INF on the same physical connection From parallel to multiple serial channels 05// ElapC5-202 DDC 05// ElapC5-202 DDC C5 final test When and why do we need an addressing operation? Which is the use of the geographic addressing? When and why do we need an allocation operation? Describe the operation of a bus arbiter Define the performance of a bus and the parameters which influence this performance. Which parameters define the minimum cycle time? Compare minimum cycle times of synchronous and asynchronous protocols. Describe the motivation of DDR protocols. Which are the benefits of multiplexed busses? Describe the benefits of block transfer transactions. 05// ElapC5-202 DDC Page DDC 8

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub.

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub. es > 100 MB/sec Pentium 4 Processor L1 and L2 caches Some slides adapted from lecture by David Culler 3.2 GB/sec Display Memory Controller Hub RDRAM RDRAM Dual Ultra ATA/100 24 Mbit/sec Disks LAN I/O Controller

More information

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010 SEMICON Solutions Bus Structure Created by: Duong Dang Date: 20 th Oct,2010 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single

More information

Buses. Maurizio Palesi. Maurizio Palesi 1

Buses. Maurizio Palesi. Maurizio Palesi 1 Buses Maurizio Palesi Maurizio Palesi 1 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single shared channel Microcontroller Microcontroller

More information

Bus System. Bus Lines. Bus Systems. Chapter 8. Common connection between the CPU, the memory, and the peripheral devices.

Bus System. Bus Lines. Bus Systems. Chapter 8. Common connection between the CPU, the memory, and the peripheral devices. Bus System Chapter 8 CSc 314 T W Bennet Mississippi College 1 CSc 314 T W Bennet Mississippi College 3 Bus Systems Common connection between the CPU, the memory, and the peripheral devices. One device

More information

Introduction to Embedded System I/O Architectures

Introduction to Embedded System I/O Architectures Introduction to Embedded System I/O Architectures 1 I/O terminology Synchronous / Iso-synchronous / Asynchronous Serial vs. Parallel Input/Output/Input-Output devices Full-duplex/ Half-duplex 2 Synchronous

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Introduction to VME. Laboratory for Data Acquisition and Controls. Last modified on 4/16/18 5:19 PM

Introduction to VME. Laboratory for Data Acquisition and Controls. Last modified on 4/16/18 5:19 PM Introduction to VME Laboratory for Data Acquisition and Controls Last modified on 4/16/18 5:19 PM VMEbus VMEbus is a computer architecture VME = Versa Module Eurocard 1980 Bus is a generic term describing

More information

Lecture 25: Busses. A Typical Computer Organization

Lecture 25: Busses. A Typical Computer Organization S 09 L25-1 18-447 Lecture 25: Busses James C. Hoe Dept of ECE, CMU April 27, 2009 Announcements: Project 4 due this week (no late check off) HW 4 due today Handouts: Practice Final Solutions A Typical

More information

CS152 Computer Architecture and Engineering Lecture 20: Busses and OS s Responsibilities. Recap: IO Benchmarks and I/O Devices

CS152 Computer Architecture and Engineering Lecture 20: Busses and OS s Responsibilities. Recap: IO Benchmarks and I/O Devices CS152 Computer Architecture and Engineering Lecture 20: ses and OS s Responsibilities April 7, 1995 Dave Patterson (patterson@cs) and Shing Kong (shing.kong@eng.sun.com) Slides available on http://http.cs.berkeley.edu/~patterson

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 15: Bus Fundamentals Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

INPUT/OUTPUT ORGANIZATION

INPUT/OUTPUT ORGANIZATION INPUT/OUTPUT ORGANIZATION Accessing I/O Devices I/O interface Input/output mechanism Memory-mapped I/O Programmed I/O Interrupts Direct Memory Access Buses Synchronous Bus Asynchronous Bus I/O in CO and

More information

INPUT/OUTPUT ORGANIZATION

INPUT/OUTPUT ORGANIZATION INPUT/OUTPUT ORGANIZATION Accessing I/O Devices I/O interface Input/output mechanism Memory-mapped I/O Programmed I/O Interrupts Direct Memory Access Buses Synchronous Bus Asynchronous Bus I/O in CO and

More information

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7.

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. 1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. Optical Discs 1 Introduction Electrical Considerations Data Transfer

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

1. Define Peripherals. Explain I/O Bus and Interface Modules. Peripherals: Input-output device attached to the computer are also called peripherals.

1. Define Peripherals. Explain I/O Bus and Interface Modules. Peripherals: Input-output device attached to the computer are also called peripherals. 1. Define Peripherals. Explain I/O Bus and Interface Modules. Peripherals: Input-output device attached to the computer are also called peripherals. A typical communication link between the processor and

More information

2. System Interconnect Fabric for Memory-Mapped Interfaces

2. System Interconnect Fabric for Memory-Mapped Interfaces 2. System Interconnect Fabric for Memory-Mapped Interfaces QII54003-8.1.0 Introduction The system interconnect fabric for memory-mapped interfaces is a high-bandwidth interconnect structure for connecting

More information

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa Interconnection Structures Patrick Happ Raul Queiroz Feitosa Objective To present key issues that affect interconnection design. Interconnection Structures 2 Outline Introduction Computer Busses Bus Types

More information

Synchronous Bus. Bus Topics

Synchronous Bus. Bus Topics Bus Topics You should be familiar by now with the basic operation of the MPC823 bus. In this section, we will discuss alternative bus structures and advanced bus operation. Synchronization styles Arbitration:

More information

Lecture 9: Bridging. CSE 123: Computer Networks Alex C. Snoeren

Lecture 9: Bridging. CSE 123: Computer Networks Alex C. Snoeren Lecture 9: Bridging CSE 123: Computer Networks Alex C. Snoeren Lecture 9 Overview Finishing up media access Ethernet Contention-free methods (rings) Moving beyond one wire Link technologies have limits

More information

Interfacing. Introduction. Introduction Addressing Interrupt DMA Arbitration Advanced communication architectures. Vahid, Givargis

Interfacing. Introduction. Introduction Addressing Interrupt DMA Arbitration Advanced communication architectures. Vahid, Givargis Interfacing Introduction Addressing Interrupt DMA Arbitration Advanced communication architectures Vahid, Givargis Introduction Embedded system functionality aspects Processing Transformation of data Implemented

More information

Broadcast Links, Addressing and Media Access Control. Link Layer B. Link and Physical Layers. MAC Addresses

Broadcast Links, Addressing and Media Access Control. Link Layer B. Link and Physical Layers. MAC Addresses roadcast Links, ddressing and Media ccess Control Message M C Message M Link Layer In a broadcast, there are two additional issues that must be resolved How do the nodes agree on who gets to use the next?

More information

The RM9150 and the Fast Device Bus High Speed Interconnect

The RM9150 and the Fast Device Bus High Speed Interconnect The RM9150 and the Fast Device High Speed Interconnect John R. Kinsel Principal Engineer www.pmc -sierra.com 1 August 2004 Agenda CPU-based SOC Design Challenges Fast Device (FDB) Overview Generic Device

More information

MULTIPROCESSORS. Characteristics of Multiprocessors. Interconnection Structures. Interprocessor Arbitration

MULTIPROCESSORS. Characteristics of Multiprocessors. Interconnection Structures. Interprocessor Arbitration MULTIPROCESSORS Characteristics of Multiprocessors Interconnection Structures Interprocessor Arbitration Interprocessor Communication and Synchronization Cache Coherence 2 Characteristics of Multiprocessors

More information

INTERFACING THE ISCC TO THE AND 8086

INTERFACING THE ISCC TO THE AND 8086 APPLICATION NOTE INTERFACING THE ISCC TO THE 68 AND 886 INTRODUCTION The ISCC uses its flexible bus to interface with a variety of microprocessors and microcontrollers; included are the 68 and 886. The

More information

Input/Output Introduction

Input/Output Introduction Input/Output 1 Introduction Motivation Performance metrics Processor interface issues Buses 2 Page 1 Motivation CPU Performance: 60% per year I/O system performance limited by mechanical delays (e.g.,

More information

Introduction. Motivation Performance metrics Processor interface issues Buses

Introduction. Motivation Performance metrics Processor interface issues Buses Input/Output 1 Introduction Motivation Performance metrics Processor interface issues Buses 2 Motivation CPU Performance: 60% per year I/O system performance limited by mechanical delays (e.g., disk I/O)

More information

Interconnecting Components

Interconnecting Components Interconnecting Components Need interconnections between CPU, memory, controllers Bus: shared communication channel Parallel set of wires for data and synchronization of data transfer Can become a bottleneck

More information

Unit 5. Memory and I/O System

Unit 5. Memory and I/O System Unit 5 Memory and I/O System 1 Input/Output Organization 2 Overview Computer has ability to exchange data with other devices. Human-computer communication Computer-computer communication Computer-device

More information

INPUT-OUTPUT ORGANIZATION

INPUT-OUTPUT ORGANIZATION INPUT-OUTPUT ORGANIZATION Peripheral Devices: The Input / output organization of computer depends upon the size of computer and the peripherals connected to it. The I/O Subsystem of the computer, provides

More information

More on IO: The Universal Serial Bus (USB)

More on IO: The Universal Serial Bus (USB) ecture 37 Computer Science 61C Spring 2017 April 21st, 2017 More on IO: The Universal Serial Bus (USB) 1 Administrivia Project 5 is: USB Programming (read from a mouse) Optional (helps you to catch up

More information

Lecture 13. Storage, Network and Other Peripherals

Lecture 13. Storage, Network and Other Peripherals Lecture 13 Storage, Network and Other Peripherals 1 I/O Systems Processor interrupts Cache Processor & I/O Communication Memory - I/O Bus Main Memory I/O Controller I/O Controller I/O Controller Disk Disk

More information

Real-Time (Paradigms) (47)

Real-Time (Paradigms) (47) Real-Time (Paradigms) (47) Memory: Memory Access Protocols Tasks competing for exclusive memory access (critical sections, semaphores) become interdependent, a common phenomenon especially in distributed

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Unit 3 and Unit 4: Chapter 4 INPUT/OUTPUT ORGANIZATION

Unit 3 and Unit 4: Chapter 4 INPUT/OUTPUT ORGANIZATION Unit 3 and Unit 4: Chapter 4 INPUT/OUTPUT ORGANIZATION Introduction A general purpose computer should have the ability to exchange information with a wide range of devices in varying environments. Computers

More information

EC 6504 Microprocessor and Microcontroller. Unit II System Bus Structure

EC 6504 Microprocessor and Microcontroller. Unit II System Bus Structure EC 6504 Microprocessor and Microcontroller Unit II 8086 System Bus Structure Syllabus: 8086 Signals Basic Configurations System bus timing System Design using 8086 IO Programming Introduction to multiprogramming

More information

The D igital Digital Logic Level Chapter 3 1

The D igital Digital Logic Level Chapter 3 1 The Digital Logic Level Chapter 3 1 Gates and Boolean Algebra (1) (a) A transistor inverter. (b) A NAND gate. (c) A NOR gate. 2 Gates and Boolean Algebra (2) The symbols and functional behavior for the

More information

Chapter 8. A Typical collection of I/O devices. Interrupts. Processor. Cache. Memory I/O bus. I/O controller I/O I/O. Main memory.

Chapter 8. A Typical collection of I/O devices. Interrupts. Processor. Cache. Memory I/O bus. I/O controller I/O I/O. Main memory. Chapter 8 1 A Typical collection of I/O devices Interrupts Cache I/O bus Main memory I/O controller I/O controller I/O controller Disk Disk Graphics output Network 2 1 Interfacing s and Peripherals I/O

More information

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University EE108B Lecture 17 I/O Buses and Interfacing to CPU Christos Kozyrakis Stanford University http://eeclass.stanford.edu/ee108b 1 Announcements Remaining deliverables PA2.2. today HW4 on 3/13 Lab4 on 3/19

More information

Chapter 6. I/O issues

Chapter 6. I/O issues Computer Architectures Chapter 6 I/O issues Tien-Fu Chen National Chung Cheng Univ Chap6 - Input / Output Issues I/O organization issue- CPU-memory bus, I/O bus width A/D multiplex Split transaction Synchronous

More information

PCI and PCI Express Bus Architecture

PCI and PCI Express Bus Architecture PCI and PCI Express Bus Architecture Computer Science & Engineering Department Arizona State University Tempe, AZ 85287 Dr. Yann-Hang Lee yhlee@asu.edu (480) 727-7507 7/23 Buses in PC-XT and PC-AT ISA

More information

Introduction to Input and Output

Introduction to Input and Output Introduction to Input and Output The I/O subsystem provides the mechanism for communication between the CPU and the outside world (I/O devices). Design factors: I/O device characteristics (input, output,

More information

Recap: What is virtual memory? CS152 Computer Architecture and Engineering Lecture 22. Virtual Memory (continued) Buses

Recap: What is virtual memory? CS152 Computer Architecture and Engineering Lecture 22. Virtual Memory (continued) Buses CS152 Computer Architecture and Engineering Lecture 22 Virtual (continued) es April 21, 2004 John Kubiatowicz (www.cs.berkeley.edu/~kubitron) Virtual Address Space Recap: What is virtual memory? Physical

More information

Where We Are in This Course Right Now. ECE 152 Introduction to Computer Architecture Input/Output (I/O) Copyright 2012 Daniel J. Sorin Duke University

Where We Are in This Course Right Now. ECE 152 Introduction to Computer Architecture Input/Output (I/O) Copyright 2012 Daniel J. Sorin Duke University Introduction to Computer Architecture Input/Output () Copyright 2012 Daniel J. Sorin Duke University Slides are derived from work by Amir Roth (Penn) Spring 2012 Where We Are in This Course Right Now So

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

Design a system that accept data from each independent processor and arbitrate which one is granted access to memory at any one time.

Design a system that accept data from each independent processor and arbitrate which one is granted access to memory at any one time. BUS ARBITER: It is desired that more than one independent processor in system further requirement is that they require access to same set of system resources for ex memory size is 64 KB and require a single

More information

CSE 123: Computer Networks Alex C. Snoeren. HW 2 due Thursday 10/21!

CSE 123: Computer Networks Alex C. Snoeren. HW 2 due Thursday 10/21! CSE 123: Computer Networks Alex C. Snoeren HW 2 due Thursday 10/21! Finishing up media access Contention-free methods (rings) Moving beyond one wire Link technologies have limits on physical distance Also

More information

VLSI Design of Multichannel AMBA AHB

VLSI Design of Multichannel AMBA AHB RESEARCH ARTICLE OPEN ACCESS VLSI Design of Multichannel AMBA AHB Shraddha Divekar,Archana Tiwari M-Tech, Department Of Electronics, Assistant professor, Department Of Electronics RKNEC Nagpur,RKNEC Nagpur

More information

Chapter Seven Morgan Kaufmann Publishers

Chapter Seven Morgan Kaufmann Publishers Chapter Seven Memories: Review SRAM: value is stored on a pair of inverting gates very fast but takes up more space than DRAM (4 to 6 transistors) DRAM: value is stored as a charge on capacitor (must be

More information

Chapter 6 Storage and Other I/O Topics

Chapter 6 Storage and Other I/O Topics Department of Electr rical Eng ineering, Chapter 6 Storage and Other I/O Topics 王振傑 (Chen-Chieh Wang) ccwang@mail.ee.ncku.edu.tw ncku edu Feng-Chia Unive ersity Outline 6.1 Introduction 6.2 Dependability,

More information

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 5 Input/Output Organization Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Accessing I/O Devices Interrupts Direct Memory Access Buses Interface

More information

Accessing I/O Devices Interface to CPU and Memory Interface to one or more peripherals Generic Model of IO Module Interface for an IO Device: CPU checks I/O module device status I/O module returns status

More information

Computer Architecture. Hebrew University Spring Chapter 8 Input/Output. Big Picture: Where are We Now?

Computer Architecture. Hebrew University Spring Chapter 8 Input/Output. Big Picture: Where are We Now? Computer Architecture Hebrew University Spring 2001 Chapter 8 Input/Output Adapted from COD2e by Petterson & Hennessy Chapter 8 I/O Big Picture: Where are We Now? I/O Systems Computer Processor Control

More information

Lecture 9: Bridging & Switching"

Lecture 9: Bridging & Switching Lecture 9: Bridging & Switching" CSE 123: Computer Networks Alex C. Snoeren HW 2 due Wednesday! Lecture 9 Overview" Finishing up media access Contention-free methods (rings) Moving beyond one wire Link

More information

Chapter 4. MARIE: An Introduction to a Simple Computer. Chapter 4 Objectives. 4.1 Introduction. 4.2 CPU Basics

Chapter 4. MARIE: An Introduction to a Simple Computer. Chapter 4 Objectives. 4.1 Introduction. 4.2 CPU Basics Chapter 4 Objectives Learn the components common to every modern computer system. Chapter 4 MARIE: An Introduction to a Simple Computer Be able to explain how each component contributes to program execution.

More information

CS 716: Introduction to communication networks. - 8 th class; 17 th Aug Instructor: Sridhar Iyer IIT Bombay

CS 716: Introduction to communication networks. - 8 th class; 17 th Aug Instructor: Sridhar Iyer IIT Bombay CS 716: Introduction to communication networks - 8 th class; 17 th Aug 2011 Instructor: Sridhar Iyer IIT Bombay Key points to consider for MAC Types/Modes of communication: Although the medium is shared,

More information

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University Chapter 3 Top Level View of Computer Function and Interconnection Contents Computer Components Computer Function Interconnection Structures Bus Interconnection PCI 3-2 Program Concept Computer components

More information

Typical System Implementation

Typical System Implementation PCI Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory Controller ISA Bus Bridge ISA Bus PCI Add-in Slots ISA Add-in Slots PCI 2 Desktop Platforms

More information

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others! Embedded Busses Large semiconductor ( IBM ) CoreConnect STBUS (STMicroelectronics) Core vendors (. Ltd AMBA (ARM Interconnect IP vendors ( Palmchip ) CoreFrame ( Silicore ) WishBone ( Sonics ) SiliconBackPlane

More information

Interface Synthesis. Communication Synthesis

Interface Synthesis. Communication Synthesis 2002-05-02 1 erface Synthesis Kris Kuchcinski Krzysztof.Kuchcinski@cs.lth.se Communication Synthesis After system partitioning we got a set of tasks assigned to system components (processors executing

More information

VME64M VME64 MASTER CONTROLLER. Version 1.1

VME64M VME64 MASTER CONTROLLER. Version 1.1 Datasheet VME64M VME64 MASTER CONTROLLER Version 1.1 INICORE INC. 5600 Mowry School Road Suite 180 Newark, CA 94560 t: 510 445 1529 f: 510 656 0995 e: info@inicore.com www.inicore.com C O P Y R I G H T

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus

Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.08, August-2013, Pages:769-772 Design of AHB Arbiter with Effective Arbitration Logic for DMA Controller in AMBA Bus P.GOUTHAMI 1, Y.PRIYANKA

More information

Architecture of Computers and Parallel Systems Part 2: Communication with Devices

Architecture of Computers and Parallel Systems Part 2: Communication with Devices Architecture of Computers and Parallel Systems Part 2: Communication with Devices Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems

More information

Module 17: "Interconnection Networks" Lecture 37: "Introduction to Routers" Interconnection Networks. Fundamentals. Latency and bandwidth

Module 17: Interconnection Networks Lecture 37: Introduction to Routers Interconnection Networks. Fundamentals. Latency and bandwidth Interconnection Networks Fundamentals Latency and bandwidth Router architecture Coherence protocol and routing [From Chapter 10 of Culler, Singh, Gupta] file:///e /parallel_com_arch/lecture37/37_1.htm[6/13/2012

More information

4 Multiplexer. Y Fig Keyboard Scan Matrix

4 Multiplexer. Y Fig Keyboard Scan Matrix - 4 Multiplexer Microcontroller 3 Decoder X Y Fig. - Keyboard Scan Matrix 2 Prentice Hall, Inc. -2 Track Sector Head positioning Fig. -2 Hard Disk Format 2 Prentice Hall, Inc. -3 RGB electron guns R G

More information

Design and Verification of High Speed SDRAM Controller with Adaptive Bank Management and Command Pipeline

Design and Verification of High Speed SDRAM Controller with Adaptive Bank Management and Command Pipeline Design and Verification of High Speed SDRAM Controller with Adaptive Bank Management and Command Pipeline Ganesh Mottee, P.Shalini Mtech student, Dept of ECE, SIR MVIT Bangalore, VTU university, Karnataka,

More information

EE414 Embedded Systems Ch 5. Memory Part 2/2

EE414 Embedded Systems Ch 5. Memory Part 2/2 EE414 Embedded Systems Ch 5. Memory Part 2/2 Byung Kook Kim School of Electrical Engineering Korea Advanced Institute of Science and Technology Overview 6.1 introduction 6.2 Memory Write Ability and Storage

More information

Computer Architecture CS 355 Busses & I/O System

Computer Architecture CS 355 Busses & I/O System Computer Architecture CS 355 Busses & I/O System Text: Computer Organization & Design, Patterson & Hennessy Chapter 6.5-6.6 Objectives: During this class the student shall learn to: Describe the two basic

More information

Introduction I/O 1. I/O devices can be characterized by Behavior: input, output, storage Partner: human or machine Data rate: bytes/sec, transfers/sec

Introduction I/O 1. I/O devices can be characterized by Behavior: input, output, storage Partner: human or machine Data rate: bytes/sec, transfers/sec Introduction I/O 1 I/O devices can be characterized by Behavior: input, output, storage Partner: human or machine Data rate: bytes/sec, transfers/sec I/O bus connections I/O Device Summary I/O 2 I/O System

More information

Computer Systems Organization

Computer Systems Organization The IAS (von Neumann) Machine Computer Systems Organization Input Output Equipment Stored Program concept Main memory storing programs and data ALU operating on binary data Control unit interpreting instructions

More information

2. Link and Memory Architectures and Technologies

2. Link and Memory Architectures and Technologies 2. Link and Memory Architectures and Technologies 2.1 Links, Thruput/Buffering, Multi-Access Ovrhds 2.2 Memories: On-chip / Off-chip SRAM, DRAM 2.A Appendix: Elastic Buffers for Cross-Clock Commun. Manolis

More information

Lecture 13: Bus and I/O. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 13: Bus and I/O. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 13: Bus and I/O James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L13 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Your goal today Housekeeping take first peek outside of the

More information

TDT Appendix E Interconnection Networks

TDT Appendix E Interconnection Networks TDT 4260 Appendix E Interconnection Networks Review Advantages of a snooping coherency protocol? Disadvantages of a snooping coherency protocol? Advantages of a directory coherency protocol? Disadvantages

More information

An introduction to SDRAM and memory controllers. 5kk73

An introduction to SDRAM and memory controllers. 5kk73 An introduction to SDRAM and memory controllers 5kk73 Presentation Outline (part 1) Introduction to SDRAM Basic SDRAM operation Memory efficiency SDRAM controller architecture Conclusions Followed by part

More information

Input/Output Organization. Outline

Input/Output Organization. Outline Input/Output Organization Chapter 19 S. Dandamudi Outline Introduction Accessing I/O devices An example I/O device Keyboard I/O data transfer Programmed I/O DMA Error detection and correction Parity encoding

More information

Computer Systems Laboratory Sungkyunkwan University

Computer Systems Laboratory Sungkyunkwan University I/O System Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Introduction (1) I/O devices can be characterized by Behavior: input, output, storage

More information

Level 1: Physical Level 2: Data link Level 3: Network Level 4: Transport

Level 1: Physical Level 2: Data link Level 3: Network Level 4: Transport Network protocols Aside from the issues of the physical network (signal types and voltage levels, connector pinouts, cabling, topology, etc.), there needs to be a standardized way in which communication

More information

Informatics for industrial applications

Informatics for industrial applications Informatics for industrial applications Lecture 5 - Peripherals: USART and DMA Martino Migliavacca martino.migliavacca@gmail.com October 20, 2011 Outline 1 Introduction to USART Introduction Synchronous

More information

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs Introducing QuickLogic s The Basics of PCI QuickPCI - The PCI Solution for System Needs Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory

More information

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 11: SoC Bus Architectures. Shaahin Hessabi Department of Computer Engineering Sharif University of Technology SoC Design Lecture 11: SoC Bus Architectures Shaahin Hessabi Department of Computer Engineering Sharif University of Technology On-Chip bus topologies Shared bus: Several masters and slaves connected to

More information

COMPUTER NETWORKS - Local area networks

COMPUTER NETWORKS - Local area networks Local area networks Telecommunication Networks Group firstname.lastname@polito.it http://www.telematica.polito.it/ COMPUTER NETWORKS LANs - 1 Copyright Quest opera è protetta dalla licenza Creative Commons

More information

Local area networks. Copyright

Local area networks. Copyright Local area networks Telecommunication Networks Group firstname.lastname@polito.it http://www.telematica.polito.it/ COMPUTER NETWORKS LANs - 1 Copyright Quest opera è protetta dalla licenza Creative Commons

More information

Lecture #9-10: Communication Methods

Lecture #9-10: Communication Methods Lecture #9-10: Communication Methods Kunle EE183 February 10, 2003 Lab Issues Lab 2 Writeup is due tonight at Midnight Lab 3 Questions? The starter is available on the web ASM183 Modelsim Verilog simulation

More information

E-bone interconnect specification Version 1.3

E-bone interconnect specification Version 1.3 E-bone interconnect specification Version 1.3 Table of Contents 1 Overview...2 2 Control Interconnect signals and protocol...4 2.1 Signal description...4 2.2 E-bone burst sequence...5 2.2.1 Requesting

More information

Basics of UART Communication

Basics of UART Communication Basics of UART Communication From: Circuit Basics UART stands for Universal Asynchronous Receiver/Transmitter. It s not a communication protocol like SPI and I2C, but a physical circuit in a microcontroller,

More information

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.

Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B. Chapter 2: Memory Hierarchy Design (Part 3) Introduction Caches Main Memory (Section 2.2) Virtual Memory (Section 2.4, Appendix B.4, B.5) Memory Technologies Dynamic Random Access Memory (DRAM) Optimized

More information

PPP. Point-to-Point Protocol

PPP. Point-to-Point Protocol PPP Point-to-Point Protocol 1 Introduction One of the most common types of WAN connection is the point-to-point connection. Point-to-point connections are used to connect LANs to service provider WANs,

More information

I/O Systems. Amir H. Payberah. Amirkabir University of Technology (Tehran Polytechnic)

I/O Systems. Amir H. Payberah. Amirkabir University of Technology (Tehran Polytechnic) I/O Systems Amir H. Payberah amir@sics.se Amirkabir University of Technology (Tehran Polytechnic) Amir H. Payberah (Tehran Polytechnic) I/O Systems 1393/9/15 1 / 57 Motivation Amir H. Payberah (Tehran

More information

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD 4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition 78.B1GE3.AFF0C 12.8GB/sec 1600Mbps

More information

Systems. Roland Kammerer. 10. November Institute of Computer Engineering Vienna University of Technology. Communication Protocols for Embedded

Systems. Roland Kammerer. 10. November Institute of Computer Engineering Vienna University of Technology. Communication Protocols for Embedded Communication Roland Institute of Computer Engineering Vienna University of Technology 10. November 2010 Overview 1. Definition of a protocol 2. Protocol properties 3. Basic Principles 4. system communication

More information

Chapter 12: Multiprocessor Architectures

Chapter 12: Multiprocessor Architectures Chapter 12: Multiprocessor Architectures Lesson 03: Multiprocessor System Interconnects Hierarchical Bus and Time Shared bus Systems and multi-port memory Objective To understand multiprocessor system

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

Reading and References. Input / Output. Why Input and Output? A typical organization. CSE 410, Spring 2004 Computer Systems

Reading and References. Input / Output. Why Input and Output? A typical organization. CSE 410, Spring 2004 Computer Systems Reading and References Input / Output Reading» Section 8.1-8.5, Computer Organization and Design, Patterson and Hennessy CSE 410, Spring 2004 Computer Systems http://www.cs.washington.edu/education/courses/410/04sp/

More information

This page intentionally left blank

This page intentionally left blank This page intentionally left blank 216 THE DIGITAL LOGIC LEVEL CHAP. 3 and in 1995, 2.1 came out. 2.2 has features for mobile computers (mostly for saving battery power). The bus runs at up to 66 MHz and

More information

Chapter 2 The AMBA SOC Platform

Chapter 2 The AMBA SOC Platform Chapter 2 The AMBA SOC Platform SoCs contain numerous IPs that provide varying functionalities. The interconnection of IPs is non-trivial because different SoCs may contain the same set of IPs but have

More information

Quality-of-Service for a High-Radix Switch

Quality-of-Service for a High-Radix Switch Quality-of-Service for a High-Radix Switch Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, David Blaauw, Ronald G. Dreslinski, Reetuparna Das, and Trevor Mudge University of Michigan 51 st DAC 06/05/2014

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Unit DMA CONTROLLER 8257

Unit DMA CONTROLLER 8257 DMA CONTROLLER 8257 In microprocessor based system, data transfer can be controlled by either software or hardware. To transfer data microprocessor has to do the following tasks: Fetch the instruction

More information

Chronos Latency - Pole Position Performance

Chronos Latency - Pole Position Performance WHITE PAPER Chronos Latency - Pole Position Performance By G. Rinaldi and M. T. Moreira, Chronos Tech 1 Introduction Modern SoC performance is often limited by the capability to exchange information at

More information