ECE 485/585 Microprocessor System Design

Size: px
Start display at page:

Download "ECE 485/585 Microprocessor System Design"

Transcription

1 Microprocessor System Design Lecture 16: PCI Bus Serial Buses Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials provided by Mark F.

2 PCI Bus Peripheral Component Interconnect Introduced by Intel (1992) Turned over to the PCI SIG Not specific to Intel microprocessors Most common contemporary PC bus but being replaced by PCI Express

3 Early PCI System Architecture

4 PCI Transactions Every transaction involves two agents * Initiator (bus master) Target (bus slave) A device can snoop without participating in a transaction Transactions: Three types (I/O, Memory, Configuration) Three phases One Arbitration phase One Address phase One or more Data phase(s) All transactions are essentially bursts (1/2/4 bytes/phase via BE s) * Except special cycles which are broadcast cycles

5 Simplified PCI Pin Out

6 PCI Commands

7 Read Transaction DEVSEL# must be asserted by Target within 1-3 cycles after address phase TRDY# must be asserted by target within 16 cycles of address phase

8 Read Transaction (cont d)

9 Write Transaction

10 Write Transaction (cont d)

11 PCI Arbitration Details of arbitration policy not specified Factors in priority and device latency times Hidden arbitration reduces latency Located in PC Chipset (e.g. Southbridge)

12 PCI Arbitration (cont d) Device a has bus (and continues to assert REQ#-a because it anticipates wanting to do further transactions) Device b requests bus by asserting REQ#-b Coincidentally device a is through with the first of its transactions

13 PCI Arbitration (cont d) Each device (capable of being a bus master) maintains a programmable latency counter. When a device is initiator it decrements the counter for each cycle after asserting FRAME#. If it loses its GNT# signal during the transfer and the counter has reached its limit then the device must de-assert FRAME# and yield the bus to another device. If the limit has not been reached the current bus master can continue until it has reached its limit During configuration each device capable of being a bus master reports its maximum permissible bus access grant delay (Max_Lat) and the minimum time it must have control over the bus (Min_Gnt). These are taken into account by the arbiter (in an unspecified manner)

14 Termination by Target Retry STOP# is asserted before target ever asserts TRDY# (before any data is transmitted). Typically because Target is unable to present data within the allotted time period (16 cycles) Results in delayed transaction Disconnect Typically used by slower devices The target terminates the transaction with the initiator but continues to process the request internally, storing the results in a buffer When the initiator retries the transaction the target provides the requested data from the buffer STOP# is asserted by the target during or after the first data phase. Master will repeat the transaction but with modified start address Target Abort STOP# is asserted simultaneously with deactivation of DEVSEL#. Typically an unrecoverable error

15 PCI Enumeration and Configuration Enumeration determines which devices and which functions are connected and gets their key characteristics including amount of address space they require Each PCI device has a set of registers referred to as configuration space. Device drivers have access to this space through the OS Each device (capable of being a master) reports its maximum permissible bus access grant delay (Max_Lat) and the minimum time it must have control over the bus (Min_Gnt). These are taken into account by the arbiter The BIOS or OS also sets the base address range the device will respond to

16 PCI Devices Device Enumeration Bus:Device:Function Buses numbered sequentially from 0 to 255 Each bus can have up to 32 devices Every device has Function 0 (1 7 are optional) Access to PCI Devices enabled by mapping them to: Memory space or I/O space Upon reset, OS (or BIOS) programs the mapped addresses into BARs (base address registers)

17 PCI Bus Parking Arbiter can park the bus on a device when the bus is idle (no other devices are requesting the bus) Device s GNT# is asserted (even though device has not asserted its REQ# signal) The parked device can gain ownership of the bus immediately without arbitrating (saves a cycle of delay) The bus is usually parked on the last device to be an initiator Bus Parking improves throughput by eliminating unnecessary arbitration cycles

18 PCI-X Bus Enhancements Problem: Delayed transactions consume bus bandwidth Retry signaling Repeated retry requests by initiator w/o knowing if target is ready to return the data Solution: Split Transactions If Target will take >16 cycles to complete request it can signal a Split Transaction instead of terminating the transaction To do this the initiator (requestor) must provide additional information: Requester ID (Bus:Device:Function) A Transaction Number (Tag) Target (completer) initiates its own completion transaction when it has the data ready Uses Sequence ID as the Address Sequence ID

19 PCI-X Bus Enhancements (cont d) Problem: PCI transactions were indeterminate length bursts of DWORDS (32-bit) PCI X transaction are determinant length Byte count sent as part of the request Problem: In PCI both the Initiator and the Target could insert wait states PCI-X prohibits Initiators from inserting wait states PCI-X Targets can only insert wait states prior to first data item Problem: PCI error detection limited to parity on some of the signals (AD and C/BE#) PCI-X implements single-bit correction, multi-bit detection

20 PCI-X and PCI Compatibility PCI-X transactions have 4-phases instead of 2 Address Phase Attribute Phase Response Phase Data Phase But they use the same connector Only one pin (B38) definition changes (GND -> PCIXCAP) If a PCI-X device detects PCI devices on the same bus Drops speed to match least capable device Drops back to PCI signaling Achieves both forward and backwards compatibility wow! But dropping back is a heavy penalty so enter PCI Bridges Increase number of devices that can be connected Divides PCI devices into segments so devices with similar capabilities (PCI-X vs. PCI) and speed (133MHz vs. 66MHz) are on the same segment Balances bandwidth requirements

21 Bus Trends Parallel to Serial Lower Voltage Can t accomplish large voltage swings at high speed Power consumption Differential Signaling Clock Forwarding and Clock Embedding Encoding Line codes: 8b/10b

22 Why Serial? Parallel Serial + - Device A Device B Device A + - Device B 10 bidirectional wires at 250Mbps pair unidirectional wires at 2500Mbps (2.5Gbps)

23 Traditional Parallel Bus Device A Device B Used in low to medium 100 MHz range Issues Board trace length mismatch effects skew at a device Clock skew across devices Faster data rate squeezes eye t SU CLK t H EYE

24 Source Synchronous Bus Device A Device B Used in 200MHz to 1.6GHz range Clock signal is forwarded with data Design impact: Board layout track length mismatch still adds to skew Eliminates skew error term caused by clock domain skew Allows faster cycle times than parallel

25 Source Synchronous - Clock Forward Clock Data 1 Data 2 Data 3 Data 4 Clock is transmitted continuously from Tx to Rx Removes clock distribution skew Examples HyperTransport Parallel RapidIO

26 Embedded Clock Data Clock Clock signal is embedded with data Edge density guaranteed by encoding scheme Examples Edge density?...well, you have to have enough transitions to recover the clock PCI Express USB Serial RapidIO Infiniband Clock signal embedded with data

27 SerDes (Serial/Deserializer) Serialize Tx 10 x 250Mbps Low Speed Parallel Data 1 x 2.5Gbps High Speed Serial Data Deserialize Rx

28 SerDes - Parallel and Serial Conversion 10-bit Parallel Interface Serializer TxP TxN SysClk XmitClk Rx Parallel Interface 10-bit Clock Recovery and Data Deserializer RxP RxN Recovered Clock

29 Differential Signaling Differential, point to point Complementary signals transmitted Receiver detects voltage difference between lines Low amplitudes (200mV - 400mV typical), high speeds Good noise immunity Pair routed together noise cancels out EX: LVDS Low Voltage Differential Signaling Gbps, +/- 350mV Gbps at mws -- High speed & low power consumption FibreChannel, Gigabit Ethernet, HDMI, DVI

30 Clock Recovery for Embedded Clock Data Clock Problem: When clock signal is embedded with data, need enough transitions to recover the clock from the data (high edge density) Solution: Line codes Use codes which ensure that there are always enough transitions (0->1 and 1->0) in short amount of time, so that the clock signal can be easily recovered from the embedded clock/data signal Other advantage: avoid DC bias Clock signal embedded with data

31 Ensuring Edge Density: m-of-n codes Some 8-bit code words have too few 1s (or 0s) to ensure edge density sufficient to recover clock 8b10 encoding (developed by IBM in 1983) Use a subset of 10-bit code words having a balanced number of 0s and 1s Benefits Ensure edge density Avoid DC bias at receiver from imbalance 8 bit byte 10 bit code Table lookup Device A Device B Parallel Data TX FIFO 8B/10B Encoder Serializer + _ Deserializer 8B/10B Decoder RX FIFO Parallel Data Parallel Data RX FIFO 8B/10B Decoder Deserializer + _ Serializer 8B/10B Encoder TX FIFO Parallel Data

32 8b/10b Transmission Code Name 8-bit binary 10-bit Encode D D D D Reasons for using 8B/10B encoding/decoding Guarantees transition density to ensure correct PLL operation Error correction to detect signaling errors Ensures signal is DC balanced no DC offset develops over time Support of special characters that can be used as delimiters for control, such as sync or framing, or other generalized commands

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 17: Serial Buses USB Disks and other I/O Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture

More information

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses

Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses Introduction Electrical Considerations Data Transfer Synchronization Bus Arbitration VME Bus Local Buses PCI Bus PCI Bus Variants Serial Buses 1 Most of the integrated I/O subsystems are connected to the

More information

Typical System Implementation

Typical System Implementation PCI Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory Controller ISA Bus Bridge ISA Bus PCI Add-in Slots ISA Add-in Slots PCI 2 Desktop Platforms

More information

Older PC Implementations

Older PC Implementations PCI Older PC Implementations 2 Newer PC Implementations 3 Server Platforms 4 PCI Overview 5 PCI Features 32-Bit or 64-Bit address and data 66 or 33 down to 0 MHz synchronous operation Single or multiple

More information

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs

Introducing. QuickLogic s The Basics of PCI. QuickPCI - The PCI Solution for System Needs Introducing QuickLogic s The Basics of PCI QuickPCI - The PCI Solution for System Needs Typical System Implementation CPU Cache Memory Subsystem DRAM Subsystem Host Bus PCI Local Bus PCI Bridge/ Memory

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 15: Bus Fundamentals Zeshan Chishti Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science Source: Lecture based on materials

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections. 7/24/00

More information

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0a PCI-X Addendum to the PCI Compliance Checklist Revision 1.0a August 29, 2000 PCI-X Addendum to the PCI Compliance Checklist REVISION REVISION HISTORY DATE 1.0 Initial Release 3/1/00 1.0a Updates for PCI-X

More information

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0 PCI-X Addendum to the PCI Local Bus Specification Revision 1.0 September 22, 1999 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 The PCI Special Interest Group disclaims all warranties and

More information

Lecture #9-10: Communication Methods

Lecture #9-10: Communication Methods Lecture #9-10: Communication Methods Kunle EE183 February 10, 2003 Lab Issues Lab 2 Writeup is due tonight at Midnight Lab 3 Questions? The starter is available on the web ASM183 Modelsim Verilog simulation

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 29, 2002July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections.

More information

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0a

PCI-X Addendum to the PCI Local Bus Specification. Revision 1.0a PCI-X Addendum to the PCI Local Bus Specification Revision 1.0a July 24, 2000 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections. 7/24/00 The

More information

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P

Errata and Clarifications to the PCI-X Addendum, Revision 1.0a. Update 3/12/01 Rev P Errata and Clarifications to the PCI-X Addendum, Revision 1.0a Update 3/12/01 Rev P REVISION REVISION HISTORY DATE P E1a-E6a, C1a-C12a 3/12/01 2 Table of Contents Table of Contents...3 Errata to PCI-X

More information

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University

EE108B Lecture 17 I/O Buses and Interfacing to CPU. Christos Kozyrakis Stanford University EE108B Lecture 17 I/O Buses and Interfacing to CPU Christos Kozyrakis Stanford University http://eeclass.stanford.edu/ee108b 1 Announcements Remaining deliverables PA2.2. today HW4 on 3/13 Lab4 on 3/19

More information

PCI and PCI Express Bus Architecture

PCI and PCI Express Bus Architecture PCI and PCI Express Bus Architecture Computer Science & Engineering Department Arizona State University Tempe, AZ 85287 Dr. Yann-Hang Lee yhlee@asu.edu (480) 727-7507 7/23 Buses in PC-XT and PC-AT ISA

More information

Introduction to the PCI Interface. Meeta Srivastav

Introduction to the PCI Interface. Meeta Srivastav Introduction to the PCI Interface Meeta Srivastav 4 th March, 2005 Talk layout BUS standards PCI Local Bus PCI protocol Special Cases Electrical and Mechanical Specifications Other Topics 2 Inside a Computer

More information

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0b

PCI-X Addendum to the PCI Compliance Checklist. Revision 1.0b PCI-X Addendum to the PCI Compliance Checklist Revision 1.0b April 16, 2003 PCI-X 1.0b Addendum to the PCI Compliance Checklist REVISION REVISION HISTORY DATE 1.0 Initial Release 3/1/00 1.0a Updates for

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Errata history for PCI-X System Architecture, 1st Edition. Page Severity Description

Errata history for PCI-X System Architecture, 1st Edition. Page Severity Description pcixerratachangehistory.fm Page 1 Tuesday, September 2, 2003 1:48 PM Errata history for PCI-X System Architecture, 1st Edition. Change Date Page Severity Description 3/12/01 109 Medium Affects both the

More information

Digital Logic Level. Buses PCI (..continued) PTE MIK MIT

Digital Logic Level. Buses PCI (..continued) PTE MIK MIT Digital Logic Level Buses PCI (..continued) varady.geza@mik.pte.hu PTE MIK MIT PCI - arbitration REQ# GNT# REQ# GNT# PCI arbiter REQ# GNT# The PCI bus has to be acquired before use by the devices PCI uses

More information

Peripheral Component Interconnect - Express

Peripheral Component Interconnect - Express PCIe Peripheral Component Interconnect - Express Preceded by PCI and PCI-X But completely different physically Logical configuration separate from the physical configuration Logical configuration is backward

More information

Embedded Systems Programming

Embedded Systems Programming Embedded Systems Programming x86 System Architecture and PCI Bus (Module 9) Yann-Hang Lee Arizona State University yhlee@asu.edu (480) 727-7507 Summer 2014 Interrupt in 8086 Two pins: NMI and INTR Interrupt

More information

PCI / PMC / CPCI / PCI-X Bus Analysis

PCI / PMC / CPCI / PCI-X Bus Analysis PCI / PMC / CPCI / PCI-X Bus Analysis Analyzer Exerciser Stimulus Target Anomaly Performance Compliance 850 System Analyzer/Exerciser Silicon Control Inc. introduces the ultimate analyzer and exerciser

More information

This page intentionally left blank

This page intentionally left blank This page intentionally left blank 216 THE DIGITAL LOGIC LEVEL CHAP. 3 and in 1995, 2.1 came out. 2.2 has features for mobile computers (mostly for saving battery power). The bus runs at up to 66 MHz and

More information

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses PMC PCI-X v1108 Analyzer & Exerciser 66 MHz, 64 Bit Analyzer/Exerciser Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses 533 MBytes/Sec real-time continuous

More information

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP)

128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) 128 Kb Dual-Port SRAM with PCI Bus Controller (PCI-DP) Features 128 Kb of dual-ported shared memory Master and target PCI Specification 2.2 compliant interface Embedded host bridge capability Direct interface

More information

Lecture 25: Busses. A Typical Computer Organization

Lecture 25: Busses. A Typical Computer Organization S 09 L25-1 18-447 Lecture 25: Busses James C. Hoe Dept of ECE, CMU April 27, 2009 Announcements: Project 4 due this week (no late check off) HW 4 due today Handouts: Practice Final Solutions A Typical

More information

Errata History For PCI System Architecture, 4th Edition

Errata History For PCI System Architecture, 4th Edition Errata History For PCI System Architecture, 4th Edition Please note that the change history table below was started on 3/12/01. Changes made prior to that date are not reflected in the table but are contained

More information

PCI Compliance Checklist

PCI Compliance Checklist PCI Compliance Checklist Actel CorePCIF v2.02 January 2006 Device is: Reserved () Device Address: 0x80000000 Vendor ID: 0x11AA Device ID: 0x6004 Revision 2.2 PCI Compliance Checklist Rev. 2.2 1 Component

More information

Unit 3 and Unit 4: Chapter 4 INPUT/OUTPUT ORGANIZATION

Unit 3 and Unit 4: Chapter 4 INPUT/OUTPUT ORGANIZATION Unit 3 and Unit 4: Chapter 4 INPUT/OUTPUT ORGANIZATION Introduction A general purpose computer should have the ability to exchange information with a wide range of devices in varying environments. Computers

More information

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub.

Buses. Disks PCI RDRAM RDRAM LAN. Some slides adapted from lecture by David Culler. Pentium 4 Processor. Memory Controller Hub. es > 100 MB/sec Pentium 4 Processor L1 and L2 caches Some slides adapted from lecture by David Culler 3.2 GB/sec Display Memory Controller Hub RDRAM RDRAM Dual Ultra ATA/100 24 Mbit/sec Disks LAN I/O Controller

More information

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses

Comprehensive Statistical Analysis of Min & Max of over 100 parameters at user specific addresses CPCI PCI-X v1108 Analyzer & Exerciser 100 MHz PCI-X Analyzer/66MHz Exerciser 66 MHz, 64 Bit CPCI Analyzer/Exerciser 664 MHz Timing Analyzer Effective 10 GHz (100 Ps) setup & hold timing violations detector

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

Computer Architecture

Computer Architecture Computer Architecture PCI and PCI Express 2018. február 22. Budapest Gábor Horváth associate professor BUTE Dept. of Networked Systems and Services ghorvath@hit.bme.hu 2 The PCI standard PCI = Peripheral

More information

128K Bit Dual-Port SRAM with PCI Bus Controller

128K Bit Dual-Port SRAM with PCI Bus Controller 9449PV PRELIMINARY Features 128K bits of dual-ported shared memory Master and Target PCI Specification 2.2 compliant interface Embedded host bridge capability Direct interface to many microprocessors I

More information

Buses. Maurizio Palesi. Maurizio Palesi 1

Buses. Maurizio Palesi. Maurizio Palesi 1 Buses Maurizio Palesi Maurizio Palesi 1 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single shared channel Microcontroller Microcontroller

More information

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7.

1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. 1. Introduction 2. Methods for I/O Operations 3. Buses 4. Liquid Crystal Displays 5. Other Types of Displays 6. Graphics Adapters 7. Optical Discs 1 Introduction Electrical Considerations Data Transfer

More information

ECE 471 Embedded Systems Lecture 30

ECE 471 Embedded Systems Lecture 30 ECE 471 Embedded Systems Lecture 30 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 3 December 2018 HW#11 was posted Announcements Feel free to return borrowed hardware. 1 Ethernet

More information

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved.

William Stallings Computer Organization and Architecture 10 th Edition Pearson Education, Inc., Hoboken, NJ. All rights reserved. + William Stallings Computer Organization and Architecture 10 th Edition 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. 2 + Chapter 3 A Top-Level View of Computer Function and Interconnection

More information

Trends in Digital Interfaces for High-Speed ADCs

Trends in Digital Interfaces for High-Speed ADCs Trends in Digital Interfaces for High-Speed ADCs Robbie Shergill National Semiconductor Corp. INTRODUCTION The analog-to-digital converter is a critical component in many of the most demanding applications

More information

PCI-OPTO32B-CONTACT. User s Manual. 24 Input Bits. 8 Output Bits. -12V-CONTACT Optional. Opto Isolator Board

PCI-OPTO32B-CONTACT. User s Manual. 24 Input Bits. 8 Output Bits. -12V-CONTACT Optional. Opto Isolator Board PCI-OPTO32B-CONTACT User s Manual 24 Input Bits 8 Output Bits -12V-CONTACT Optional Opto Isolator Board 8302A Whitesburg Drive Huntsville, AL 35802 Phone: (256) 880-8787 Fax: (256) 880-8788 URL: www.generalstandards.com

More information

Architecture Specification

Architecture Specification PCI-to-PCI Bridge Architecture Specification, Revision 1.2 June 9, 2003 PCI-to-PCI Bridge Architecture Specification Revision 1.1 December 18, 1998 Revision History REVISION ISSUE DATE COMMENTS 1.0 04/05/94

More information

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals

Generic Model of I/O Module Interface to CPU and Memory Interface to one or more peripherals William Stallings Computer Organization and Architecture 7 th Edition Chapter 7 Input/Output Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In

More information

PI7C8140A 2-Port PCI-to-PCI Bridge REVISION 1.01

PI7C8140A 2-Port PCI-to-PCI Bridge REVISION 1.01 2-Port PCI-to-PCI Bridge REVISION 1.01 3545 North First Street, San Jose, CA 95134 Telephone: 1-877-PERICOM, (1-877-737-4266) Fax: 408-435-1100 Internet: http://www.pericom.com LIFE SUPPORT POLICY Pericom

More information

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing

A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing A HT3 Platform for Rapid Prototyping and High Performance Reconfigurable Computing Second International Workshop on HyperTransport Research and Application (WHTRA 2011) University of Heidelberg Computer

More information

System Buses Ch 3. Computer Function Interconnection Structures Bus Interconnection PCI Bus. 9/4/2002 Copyright Teemu Kerola 2002

System Buses Ch 3. Computer Function Interconnection Structures Bus Interconnection PCI Bus. 9/4/2002 Copyright Teemu Kerola 2002 System Buses Ch 3 Computer Function Interconnection Structures Bus Interconnection PCI Bus 1 Computer Function von Neumann architecture memory contains both instruction and data Fetch-Execute Cycle CPU

More information

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University

Chapter 3. Top Level View of Computer Function and Interconnection. Yonsei University Chapter 3 Top Level View of Computer Function and Interconnection Contents Computer Components Computer Function Interconnection Structures Bus Interconnection PCI 3-2 Program Concept Computer components

More information

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote

A (Very Hand-Wavy) Introduction to. PCI-Express. Jonathan Heathcote A (Very Hand-Wavy) Introduction to PCI-Express Jonathan Heathcote Motivation Six Week Project Before PhD Starts: SpiNNaker Ethernet I/O is Sloooooow How Do You Get Things In/Out of SpiNNaker, Fast? Build

More information

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017)

PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) PCI Host Controller 14a Hardware Reference 1 PCI Host Controller 14a Hardware Reference Release 1.2 (October 16, 2017) Purpose: Host Controller to support the PCI bus according to the PCI/104 specification.

More information

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa Interconnection Structures Patrick Happ Raul Queiroz Feitosa Objective To present key issues that affect interconnection design. Interconnection Structures 2 Outline Introduction Computer Busses Bus Types

More information

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010

SEMICON Solutions. Bus Structure. Created by: Duong Dang Date: 20 th Oct,2010 SEMICON Solutions Bus Structure Created by: Duong Dang Date: 20 th Oct,2010 Introduction Buses are the simplest and most widely used interconnection networks A number of modules is connected via a single

More information

The CoreConnect Bus Architecture

The CoreConnect Bus Architecture The CoreConnect Bus Architecture Recent advances in silicon densities now allow for the integration of numerous functions onto a single silicon chip. With this increased density, peripherals formerly attached

More information

Pretty Good Protocol - Design Specification

Pretty Good Protocol - Design Specification Document # Date effective October 23, 2006 Author(s) Ryan Herbst Supersedes Draft Revision 0.02 January 12, 2007 Document Title Pretty Good Protocol - Design Specification CHANGE HISTORY LOG Revision Effective

More information

PC87200 PCI to ISA Bridge

PC87200 PCI to ISA Bridge PC87200 PCI to ISA Bridge 1.0 General Description The PC87200 Enhanced Integrated PCI-to-ISA bridge works with an LPC chipset to provide ISA slot support. It is a complement to the National Semiconductor

More information

2. System Interconnect Fabric for Memory-Mapped Interfaces

2. System Interconnect Fabric for Memory-Mapped Interfaces 2. System Interconnect Fabric for Memory-Mapped Interfaces QII54003-8.1.0 Introduction The system interconnect fabric for memory-mapped interfaces is a high-bandwidth interconnect structure for connecting

More information

LOW PIN COUNT (LPC) INTERFACE SPECIFICATION

LOW PIN COUNT (LPC) INTERFACE SPECIFICATION LOW PIN COUNT (LPC) INTERFACE SPECIFICATION Revision 1.0 September 29, 1997 Intel may have patents and/or patent applications related to the various Low Pin Count interfaces described in the Low Pin Count

More information

INTEL 380FB PCISET: 82380AB MOBILE PCI-TO-ISA BRIDGE (MISA)

INTEL 380FB PCISET: 82380AB MOBILE PCI-TO-ISA BRIDGE (MISA) INTEL 380FB PCISET: 82380AB MOBILE PCI-TO-ISA BRIDGE (MISA) PCI Bus at 25 MHz to 33 MHz ISA Bus at 7.5 MHz to 8.33 MHz 5 Volt ISA and PCI Interfaces Full ISA Support Including ISA Masters PC/PCI DMA Protocol

More information

Digital System Design

Digital System Design Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/courses/ensc350 Simon Fraser University i Slide Set: 15 Date: March 30, 2009 Slide

More information

PCIe-20AO8C500K. 20-Bit 8-Output 500KSPS Precision Wideband. PCI Express Short-Card Analog Output Module

PCIe-20AO8C500K. 20-Bit 8-Output 500KSPS Precision Wideband. PCI Express Short-Card Analog Output Module PCIe-20AO8C500K 20-Bit 8-Output 500KSPS Precision Wideband PCI Express Short-Card Analog Output Module Features Include: Eight Single-ended or 3-Wire Differential 20-Bit analog output channels. Simultaneous

More information

PCIe-16AO64C. 16-Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board. With Optional Outputs-Disconnect

PCIe-16AO64C. 16-Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board. With Optional Outputs-Disconnect PCIe-16AO64C 16-Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board With Optional Outputs-Disconnect Features Include: Precision 16-Bit simultaneously-clocked analog outputs: R-2R DAC per channel

More information

8b/10b encoding - Wikipedia, the free encyclopedia

8b/10b encoding - Wikipedia, the free encyclopedia Page 1 of 5 8b/10b encoding From Wikipedia, the free encyclopedia (Redirected from 8B10B) In telecommunications, 8b/10b is a line code that maps 8-bit symbols to 10-bit symbols to achieve DC-balance and

More information

SCSI is often the best choice of bus for high-specification systems. It has many advantages over IDE, these include:

SCSI is often the best choice of bus for high-specification systems. It has many advantages over IDE, these include: 13 SCSI 13.1 Introduction SCSI is often the best choice of bus for high-specification systems. It has many advantages over IDE, these include: A single bus system for up to seven connected devices. It

More information

DesignCon SerDes Architectures and Applications. Dave Lewis, National Semiconductor Corporation

DesignCon SerDes Architectures and Applications. Dave Lewis, National Semiconductor Corporation DesignCon 2004 SerDes Architectures and Applications Dave Lewis, National Semiconductor Corporation Abstract When most system designers look at serializer/deserializer (SerDes) devices, they often compare

More information

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system

CS/ECE 217. GPU Architecture and Parallel Programming. Lecture 16: GPU within a computing system CS/ECE 217 GPU Architecture and Parallel Programming Lecture 16: GPU within a computing system Objective To understand the major factors that dictate performance when using GPU as an compute co-processor

More information

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others!

Embedded Busses. Large semiconductor. Core vendors. Interconnect IP vendors. STBUS (STMicroelectronics) Many others! Embedded Busses Large semiconductor ( IBM ) CoreConnect STBUS (STMicroelectronics) Core vendors (. Ltd AMBA (ARM Interconnect IP vendors ( Palmchip ) CoreFrame ( Silicore ) WishBone ( Sonics ) SiliconBackPlane

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

CCVPX-16AI32SSC1M. 32-Channel, Differential, 16-Bit Simultaneous Sampling; Conduction-Cooled VPX Analog Input Board

CCVPX-16AI32SSC1M. 32-Channel, Differential, 16-Bit Simultaneous Sampling; Conduction-Cooled VPX Analog Input Board CCVPX-16AI32SSC1M 32-Channel, Differential, 16-Bit Simultaneous Sampling; Conduction-Cooled VPX Analog Input Board With 1.0MSPS Sample Rate per Channel, Time-tagging, Low-latency access, and Front-Panel

More information

Introduction to Embedded System I/O Architectures

Introduction to Embedded System I/O Architectures Introduction to Embedded System I/O Architectures 1 I/O terminology Synchronous / Iso-synchronous / Asynchronous Serial vs. Parallel Input/Output/Input-Output devices Full-duplex/ Half-duplex 2 Synchronous

More information

XMC-16AI32SSC1M. 32-Channel, Differential, 16-Bit Simultaneous Sampling XMC Analog Input Board

XMC-16AI32SSC1M. 32-Channel, Differential, 16-Bit Simultaneous Sampling XMC Analog Input Board 32-Channel, Differential, 16-Bit Simultaneous Sampling XMC Analog Input Board With 1.0MSPS Sample Rate per Channel, Time-tagging and Low-latency access 32 Differential analog inputs with dedicated 1.0MSPS

More information

HyperTransport. Dennis Vega Ryan Rawlins

HyperTransport. Dennis Vega Ryan Rawlins HyperTransport Dennis Vega Ryan Rawlins What is HyperTransport (HT)? A point to point interconnect technology that links processors to other processors, coprocessors, I/O controllers, and peripheral controllers.

More information

Agilent Technologies E2929B PCI-X Exerciser and Analyzer. Technical Overview. Key Specifications

Agilent Technologies E2929B PCI-X Exerciser and Analyzer. Technical Overview. Key Specifications Agilent Technologies E2929B PCI-X Exerciser and Analyzer Technical Overview Key Specifications O to 133.4 MHz clock speed 64 bit data and addressing Exerciser (option #300) with full capabilities, including

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications

Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Introduction the Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications o Asynchronous Serial (SCI, RS-232) o Synchronous Serial (SPI, IIC) The MC9S12

More information

The RM9150 and the Fast Device Bus High Speed Interconnect

The RM9150 and the Fast Device Bus High Speed Interconnect The RM9150 and the Fast Device High Speed Interconnect John R. Kinsel Principal Engineer www.pmc -sierra.com 1 August 2004 Agenda CPU-based SOC Design Challenges Fast Device (FDB) Overview Generic Device

More information

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0

PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 PCI Express to PCI/PCI-X Bridge Specification Revision 1.0 July 14, 03 REVISION REVISION HISTORY DATE 1.0 Initial release 7/14/03 PCI-SIG disclaims all warranties and liability for the use of this document

More information

Agilent Bead Probe Technology

Agilent Bead Probe Technology Agilent Bead Probe Technology Page 1 Abstract Lead-free, shrinking geometries, new packages and high-speed signaling present new challenges for ICT. The impact will be more defects, loss of access, lower

More information

PCI Bus Quick Reference by Doug Abbott

PCI Bus Quick Reference by Doug Abbott PCI Bus Quick Reference by Doug Abbott This quick reference to the PCI Bus is based on the information contained in PCI BUS DEMYSTIFIED by Doug Abbott, published by LLH Technology Publishing. To order

More information

Tsi352 PCI-to-PCI Bridge User Manual

Tsi352 PCI-to-PCI Bridge User Manual Tsi352 PCI-to-PCI Bridge User Manual September 5, 2009 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (800) 345-7015 (408) 284-8200 FAX: (408) 284-2775 Printed in U.S.A. 2009, Inc.

More information

Optimizing the PCI Interface Configuration for the RC32336

Optimizing the PCI Interface Configuration for the RC32336 Optimizing the PCI Interface Configuration for the RC32336 Application Note AN-436 Revision History June 10, 2003: Initial publication. By Rakesh Bhatia Background The RC32336 device is a member of the

More information

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003)

SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) SpaceWire ECSS-E50-12A International SpaceWire Seminar (ISWS 2003) 4-5 November 2003, ESTEC Noordwijk, The Netherlands Steve Parkes (1), Josep Rosello (2) (1) University of Dundee, Applied Computing, Dundee,

More information

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 5 Input/Output Organization. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 5 Input/Output Organization Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Accessing I/O Devices Interrupts Direct Memory Access Buses Interface

More information

PI7C8152A & PI7C8152B 2-Port PCI-to-PCI Bridge REVISION 1.11

PI7C8152A & PI7C8152B 2-Port PCI-to-PCI Bridge REVISION 1.11 2-Port PCI-to-PCI Bridge REVISION 1.11 2380 Bering Drive, San Jose, CA 95131 Telephone: 1-877-PERICOM, (1-877-737-4266) Fax: 408-435-1100 Email: solutions@pericom.com Internet: http://www.pericom.com LIFE

More information

Optical SerDes Test Interface for High-Speed and Parallel Testing

Optical SerDes Test Interface for High-Speed and Parallel Testing June 7-10, 2009 San Diego, CA SerDes Test Interface for High-Speed and Parallel Testing Sanghoon Lee, Ph. D Sejang Oh, Kyeongseon Shin, Wuisoo Lee Memory Division, SAMSUNG ELECTRONICS Why Interface? High

More information

2. THE PCI EXPRESS BUS

2. THE PCI EXPRESS BUS 1 2. THE PCI EXPRESS BUS This laboratory work presents the serial variant of the PCI bus, referred to as PCI Express. After an overview of the PCI Express bus, details about its architecture are presented,

More information

Input/Output Introduction

Input/Output Introduction Input/Output 1 Introduction Motivation Performance metrics Processor interface issues Buses 2 Page 1 Motivation CPU Performance: 60% per year I/O system performance limited by mechanical delays (e.g.,

More information

Introduction. Motivation Performance metrics Processor interface issues Buses

Introduction. Motivation Performance metrics Processor interface issues Buses Input/Output 1 Introduction Motivation Performance metrics Processor interface issues Buses 2 Motivation CPU Performance: 60% per year I/O system performance limited by mechanical delays (e.g., disk I/O)

More information

82558 Fast Ethernet PCI Bus Controller with Integrated PHY

82558 Fast Ethernet PCI Bus Controller with Integrated PHY Fast Ethernet PCI Bus Controller with Integrated PHY Networking Silicon Product Features Provides IEEE 802.3/802.3u 10BASE-T and 100BASE-TX compatibility Provides glueless 32-bit PCI bus master interface

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

PCIe-16AOF Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board. With Reconstruction Output Filters

PCIe-16AOF Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board. With Reconstruction Output Filters PCIe-16AOF64 16-Bit, 64/32-Channel, 500KSPS PCI Express Analog Output Board With Reconstruction Output Filters Features Include: Precision 16-Bit simultaneously-clocked analog outputs: R-2R DAC per channel

More information

Electrical Differences nc. Table 1 describes how to distinguish between Rev 1.3 and Rev 1.4 devices. The orderable part number and the part marking co

Electrical Differences nc. Table 1 describes how to distinguish between Rev 1.3 and Rev 1.4 devices. The orderable part number and the part marking co nc. MPC107 Revision 1.3 and 1.4: Comparison and Compatibility Doug McQuaid CPD Applications risc10@email. sps.mot.com This document describes electrical and functional differences between Rev 1.3 and Rev

More information

CorePCI Target+DMA Master 33/66MHz

CorePCI Target+DMA Master 33/66MHz Preliminary v1.0 CorePCI Target+DMA Master 33/66MHz Product Summary Intended Use High-Performance 33MHz or 66MHz PCI Target+DMA Master Applications 32-Bit, 33MHz, 3.3V or 5V fully compliant solution available

More information

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report

JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 1 of 14 JESD204B Xilinx/IDT DAC1658D-53D interoperability Report [Interoperability Report] Rev 0.4 Page 2 of 14 CONTENTS INTRODUCTION... 3 SCOPE... 3 HARDWARE...

More information

PCI Local Bus Specification Revision 3.0. June 2002JuneDecember 5February 3, , 2002

PCI Local Bus Specification Revision 3.0. June 2002JuneDecember 5February 3, , 2002 PCI Local Bus Specification Revision 3.0 June 2002JuneDecember 5February 3, 20043 28, 2002 REVISION REVISION HISTORY DATE 1.0 Original issue. 6/22/92 2.0 Incorporated connector and add-in card specification.

More information

Lecture 9: Bridging. CSE 123: Computer Networks Alex C. Snoeren

Lecture 9: Bridging. CSE 123: Computer Networks Alex C. Snoeren Lecture 9: Bridging CSE 123: Computer Networks Alex C. Snoeren Lecture 9 Overview Finishing up media access Ethernet Contention-free methods (rings) Moving beyond one wire Link technologies have limits

More information

A More Sophisticated Snooping-Based Multi-Processor

A More Sophisticated Snooping-Based Multi-Processor Lecture 16: A More Sophisticated Snooping-Based Multi-Processor Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2014 Tunes The Projects Handsome Boy Modeling School (So... How

More information

Technical Article MS-2442

Technical Article MS-2442 Technical Article MS-2442. JESD204B vs. Serial LVDS Interface Considerations for Wideband Data Converter Applications by George Diniz, Product Line Manager, Analog Devices, Inc. Some key end-system applications

More information

PCI Bus Master Interface Chip for Adapters and Embedded Systems. Figure 1. Typical Adapter or Embedded System Block Diagram

PCI Bus Master Interface Chip for Adapters and Embedded Systems. Figure 1. Typical Adapter or Embedded System Block Diagram PCI 9060 December, 1995 PCI Bus Master Interface Chip for VERSION 1.2 Adapters and Embedded Systems Features General Description PCI Bus Master Interface supporting adapters and embedded systems Two independent

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

TMS320C64x DSP Peripheral Component Interconnect (PCI) Performance

TMS320C64x DSP Peripheral Component Interconnect (PCI) Performance Application Report SPRA965 October 2003 TMS320C64x DSP Peripheral Component Interconnect (PCI) Performance Stéphane Smith C6x Device Applications ABSTRACT This application report describes the number of

More information

Organisasi Sistem Komputer

Organisasi Sistem Komputer LOGO Organisasi Sistem Komputer OSK 5 Input Output 1 1 PT. Elektronika FT UNY Input/Output Problems Wide variety of peripherals Delivering different amounts of data At different speeds In different formats

More information