Large-Scale Full-Wave Simulation

Size: px
Start display at page:

Download "Large-Scale Full-Wave Simulation"

Transcription

1 Large-Scale Full-Wave Simulation Sharad Kapur and David Long Integrand Software, Inc.

2 Areas of interest Consistent trends in IC design Increasing operating frequencies Modeling of passive structures (components, interconnect) is very important Accurate modeling required for RF design (components) RF Blocks/Mixed signal design (coupling between analog and digital parts) Package parasitics Signal integrity and interconnect analysis Passive Packages Components RF/Analog Signal Integrity Chips

3 Inaccurate modeling of various effects Wire over high-resistivity substrate Strong frequency dependence Value used in practice is 300% different than the true value Reason: Effective ground plane moves south at high frequencies

4 Vision Full-wave field solvers can be made practical Replace patchwork of point tools accuracy of the commercial full-wave tools for chip-size problems ElectroMagnetic Extractor (EMX) Handle all electromagnetic effects in a unified manner Efficient and very accurate Layout -> Spice/Spice like representation Remove layers of intermediate steps and sources of error

5 Fundamental problem Efficiency Structures are discretized into panels and unknowns to be solved for are things like charge/current Accurate simulations are computationally expensive Traditional full-wave EM simulation tools can take hours to days to do simple structures

6 Solving the linear system Aσ = ϕ Conventional methods O(N 3 ) time Cubic complexity kills (2x problem size 8x time) In 80s-90s slew of techniques for solving these systems Iterative methods reduce time to O(N 2 ) Fast Matrix-Vector methods O(N) Fast Multipole Methods, SVD methods, P-FFT methods Fundamentally changed computational electromagnetics

7 Revisiting the full-wave problem Nebula had sufficient speed to do the electrostatic (capacitance) problem for block sized problems For the full-wave problem cannot use some of the tricks compressing geometric information shielding Revisiting the problem first solved with IES 3 with a completely new direction of attack Several new ideas in the implementation Will talk about two of them

8 Idea 1: Layout is regular 1. Wires are paths of constant width 2. Distance between adjacent routing is constant 3. Routing is at 45 or 90 degrees 4. Components, spiral inductors, capacitors, are symmetric 5. Normal notion of regularity, repeated instances of subcircuits Layout space is actually a very small subset of all possible routing Can you take advantage of this?

9 Conventional approach In all previous approaches, mesh generation and field solution viewed as orthogonal sub problems Mesh generation Typically unstructured Delauny triangulation Field solution Uses a fast solver method Independent of the underlying mesh Cannot take advantage of layout regularity

10 Unstructured mesh Colors mapped to shapes Random sizes from an unstructured mesh Every triangle interacts with every other triangle Pairs of interactions are dissimilar, because of the shapes and the distances between the triangles

11 Layout has a lot of structure This structure can be imposed on the mesh A small set of canonical shapes Very few distinct colors representing unique shapes Build a house with uniform bricks Identical interactions are repeated all over Few unstructured left over regions are a small part of the mesh

12 Routing of a 16 bit bus line from a 10GHz chip

13 Quadrature CMOS VCO (Gierkink, Frye, courtesy Agere)

14

15 Algorithm for creating regular meshes Wire recognition algorithm was developed Sweep through the layout identifying wires Grey regions are identified wires Once the wires are identified A mesh is created from a small set of canonical shapes The Jester RCF

16 Algorithm for creating regular meshes Wire recognition algorithm was developed Sweep through the layout identifying wires Grey regions are identified wires Once the wires are identified A mesh is created from a small set of canonical shapes

17 Algorithm for creating regular meshes Wire recognition algorithm was developed Sweep through the layout identifying wires Grey regions are identified wires Once the wires are identified A mesh is created from a small set of canonical shapes

18 Exploiting the regularity Embedded in the FMM Direct interactions represented by sparse matrix Lot of structure in the sparse matrix with identical entries Substantially more compact representation Reduction in time for matrix construction (integral time) Reduction in storage

19 Idea 2: Approximating the vector formulation r E r J Vector potential term is dominant cost With RWG basis functions 3 roof tops for each triangle 4 roof tops for each rectangle Between two shapes need to compute 9-16 interactions 1 for scalar interaction r j A 0 = + ω σ + φ

20 Approximating the Vector potential To avoid ill-conditioning basis functions are decomposed into curl free and divergence free bases (loops and patches) Current flow through a triangle due to loop is a constant! Can be exactly represented by a scalar integral over source Approximation for other vector contributions

21 Approximating the vector potential In the limit of fine mesh approximation is exact Intuition: The current flow smoothly varies across shapes and very small amount of charge is deposited as current leaves a shape Approximation is valid for practical problems and frequencies

22 Examples

23 10s 35s 360s

24 Comparsion to IES 3 20x-40x saving in memory 20x-30x saving in time Better accuracy than IES 3

25 PBP001 blue PBP002 black Sim - red 1. Inductance 2. Q 3. Resistance 4. Impedance L15

26 Integrated Filter Design Integrated filter design Courtesy of STATS Circuit is a band pass filter Contains inductors, resistors, capacitors Capacitors are MIM caps (very close metal plates)

27 Comparison of EMX simulation to measurement Simulation and measurement agree well within process variation Other simulation tools (cannot name names here) are not able to predict either the profile or the insertion loss accurately Structure designed and measured by Bob Frye Integrated Filter Design

28 Conclusion Developed a new full-wave simulation tool Takes advantage of layout regularity New formulation for vector potential 50x faster than previous approaches Used for model generation and RF block level simulation, packaging, etc. Potential application in many other areas

Using Sonnet in a Cadence Virtuoso Design Flow

Using Sonnet in a Cadence Virtuoso Design Flow Using Sonnet in a Cadence Virtuoso Design Flow Purpose of this document: This document describes the Sonnet plug-in integration for the Cadence Virtuoso design flow, for silicon accurate EM modelling of

More information

Introducing Virtuoso RF Designer (RFD) For RFIC Designs

Introducing Virtuoso RF Designer (RFD) For RFIC Designs A seminar on Cadence Virtuoso RF Designer is scheduled for March 5, 2008. To know more, write to Brajesh Heda at brajesh@cadence.com Introducing Virtuoso RF Designer (RFD) For RFIC Designs Introduction

More information

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis

Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Electrical Interconnect and Packaging Advanced Surface Based MoM Techniques for Packaging and Interconnect Analysis Jason Morsey Barry Rubin, Lijun Jiang, Lon Eisenberg, Alina Deutsch Introduction Fast

More information

Outline. Darren Wang ADS Momentum P2

Outline. Darren Wang ADS Momentum P2 Outline Momentum Basics: Microstrip Meander Line Momentum RF Mode: RFIC Launch Designing with Momentum: Via Fed Patch Antenna Momentum Techniques: 3dB Splitter Look-alike Momentum Optimization: 3 GHz Band

More information

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus

EM Analysis of High Frequency Printed Circuit Boards. Dr.-Ing. Volker Mühlhaus EM Analysis of High Frequency Printed Circuit Boards Dr.-Ing. Volker Mühlhaus volker@muehlhaus.com Agenda EM tools overview When to use EM analysis Application examples: Filters The importance of meshing

More information

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor

QUEST 3D RLCG Extraction Depending on Frequency. RF Structures Parasitic Extractor QUEST 3D RLCG Extraction Depending on Frequency RF Structures Parasitic Extractor Introduction Type of Simulation Inputs / Outputs Graphical Interface Technology Process Layout Field Solver Output DOE

More information

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM

SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD-FEM 1 SIMULATION OF AN IMPLANTED PIFA FOR A CARDIAC PACEMAKER WITH EFIELD FDTD AND HYBRID FDTD- Introduction Medical Implanted Communication Service (MICS) has received a lot of attention recently. The MICS

More information

Chip/Package/Board Design Flow

Chip/Package/Board Design Flow Chip/Package/Board Design Flow EM Simulation Advances in ADS 2011.10 1 EM Simulation Advances in ADS2011.10 Agilent EEsof Chip/Package/Board Design Flow 2 RF Chip/Package/Board Design Industry Trends Increasing

More information

Using Sonnet Interface in Eagleware-Elanix GENESYS. Sonnet Application Note: SAN-205A JULY 2005

Using Sonnet Interface in Eagleware-Elanix GENESYS. Sonnet Application Note: SAN-205A JULY 2005 Using Sonnet Interface in Eagleware-Elanix GENESYS Sonnet Application Note: SAN-205A JULY 2005 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave 3D Planar

More information

High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1. electromagnetic. (EM) simulation. tool of the practic-

High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1. electromagnetic. (EM) simulation. tool of the practic- From January 2011 High Frequency Electronics Copyright 2011 Summit Technical Media, LLC High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1 By John Dunn AWR Corporation Only 30

More information

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology 2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003 Brief Papers Broadband ESD Protection Circuits in CMOS Technology Sherif Galal, Student Member, IEEE, and Behzad Razavi, Fellow,

More information

Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits

Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits Genesys 2012 Tutorial - Using Momentum Analysis for Microwave Planar Circuits Create the following schematics in Figure 1 with Genesys s schematic editor, which depicts two sections of a cascaded microstrip

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

Efficient Meshing in Sonnet

Efficient Meshing in Sonnet Efficient Meshing in Sonnet Purpose of this document: In this document, we will discuss efficient meshing in Sonnet, based on a wide variety of application examples. It will be shown how manual changes

More information

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN

Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Application Note Spiral Inductors PDK Flow Using QUEST, UTMOST IV, SmartSpice and SPAYN Abstract: An original parameters extraction strategy has been developed using a physical and scalable 2π equivalent

More information

Chapter 4 Determining Cell Size

Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size Chapter 4 Determining Cell Size The third tutorial is designed to give you a demonstration in using the Cell Size Calculator to obtain the optimal cell size for your circuit

More information

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects

A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects A New Methodology for Interconnect Parasitic Extraction Considering Photo-Lithography Effects Ying Zhou, Yuxin Tian, Weiping Shi Texas A&M University Zhuo Li Pextra Corporation Frank Liu IBM Austin Research

More information

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved.

Copyright 2011 by Dr. Andrew David Norte. All Rights Reserved. Near-End Crosstalk Considerations For Coupled Microstriplines David Norte, PhD www.the-signal-and-power-integrity-institute.com Thornton, Colorado, 80234, USA Abstract This paper addresses the impact of

More information

Simulation and Modeling Techniques for Compact LTCC Packages

Simulation and Modeling Techniques for Compact LTCC Packages Simulation and Modeling Techniques for Compact LTCC Packages Ted A. Miracco, Lloyd Nakamura, Malcolm Edwards Applied Wave Research, Inc. 1960 East Grand Avenue, Ste 430 El Segundo, CA 90245 Tel. 310-726-3000,

More information

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions

The Gold Standard for Parasitic Extraction and Signal Integrity Solutions The Gold Standard for Parasitic Extraction and Signal Integrity Solutions Critical Net Extraction and Analysis Full 3D seamless field solution High accuracy extraction Extracts net, tree, or entire path

More information

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK

CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 24 CHAPTER 2 NEAR-END CROSSTALK AND FAR-END CROSSTALK 2.1 INTRODUCTION The high speed digital signal propagates along the transmission lines in the form of transverse electromagnetic (TEM) waves at very

More information

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting

Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Layout Retargeting Multiple Specifications Radio-Frequency Integrated Circuit Design with Automatic Template-Driven Retargeting Nuttorn Jangkrajarng, Sambuddha Bhattacharya, Roy Hartono, and C-J. Richard Shi Department of

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

ECE 497 JS Lecture - 21 Noise in Digital Circuits

ECE 497 JS Lecture - 21 Noise in Digital Circuits ECE 497 JS Lecture - 21 Noise in Digital Circuits Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - NL05 program available -

More information

Understanding Strip (Finite) and Slot (Infinite) Ground based EM simulations in ADS

Understanding Strip (Finite) and Slot (Infinite) Ground based EM simulations in ADS Understanding Strip (Finite) and Slot (Infinite) Ground based EM simulations in ADS ADS offer three ways in which designers can model the return path (ground) for their structures to perform EM simulations.

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

A Proposal for Developing S2IBISv3

A Proposal for Developing S2IBISv3 A Proposal for Developing S2IBISv3 Paul Franzon Michael Steer Automated Design Tools for Integrated Mixed Signal Microsystems (NeoCAD) Outline Background DARPA Program NeoCad Program Objectives Program

More information

AN-1055 APPLICATION NOTE

AN-1055 APPLICATION NOTE AN-155 APPLICATION NOTE One Technology Way P.O. Box 916 Norwood, MA 262-916, U.S.A. Tel: 781.329.47 Fax: 781.461.3113 www.analog.com EMC Protection of the AD7746 by Holger Grothe and Mary McCarthy INTRODUCTION

More information

EECS 556 Image Processing W 09. Interpolation. Interpolation techniques B splines

EECS 556 Image Processing W 09. Interpolation. Interpolation techniques B splines EECS 556 Image Processing W 09 Interpolation Interpolation techniques B splines What is image processing? Image processing is the application of 2D signal processing methods to images Image representation

More information

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs

Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Advanced Modeling and Simulation Strategies for Power Integrity in High-Speed Designs Ramachandra Achar Carleton University 5170ME, Dept. of Electronics Ottawa, Ont, Canada K1S 5B6 *Email: achar@doe.carleton.ca;

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

EECE 615: High-Frequency Design Techniques

EECE 615: High-Frequency Design Techniques Department of Electrical and Computer Engineering EECE 615: High-Frequency Design Techniques Prerequisites: EECE 417, PHYS 204C Required for all MSEE majors Catalog Description:Study of the problems associated

More information

Fractional N PLL GHz

Fractional N PLL GHz Fractional N PLL 8.5-11.3GHz PMCC_PLL12GFN IP MACRO Datasheet Rev 1 Process: 65nm CMOS DESCRIPTION PMCC_PLL12GFN is a macro-block designed for synthesizing the frequencies required for fiber optic transceivers

More information

Proposal for SAS 2.x Specification to Enable Support for Active Cables

Proposal for SAS 2.x Specification to Enable Support for Active Cables 08-052r2 Proposal for SAS 2.x Specification to Enable Support for Active Cables Gourgen Oganessyan QUELLAN March 7, 2008 Introduction Inclusion of active cable interconnect option into the SAS specification

More information

TQPED MMIC Design Training

TQPED MMIC Design Training TQPED MMIC Design Training Outline Installation and Use of the Library AWR AWR Design Kit (PDK Process Design Kit) ICED Layout Kit Create a new document using the Library Environment Setup Hotkeys Background

More information

A comprehensive workflow and methodology for parasitic extraction

A comprehensive workflow and methodology for parasitic extraction A comprehensive workflow and methodology for parasitic extraction Radoslav Prahov, Achim Graupner Abstract: In this paper is presented, analysed and assessed a design automation methodology of a tool employed

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

This Presentation Will

This Presentation Will Investigating Basic Circuits Pre-Activity Discussion Digital Electronics 2014 Project Lead The Way, Inc. This Presentation Will Introduce you to basic circuits and their symbols. Introduce you to components

More information

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO SOI REQUIRES BETTER THAN IR-DROP F. Clément, CTO Content IR Drop Vs. System-level Interferences CWS Expertise Accuracy and Performance Silicon Validation Conclusion Copyright CWS 2004-2016 2 Sensitive

More information

Application Suggestions for X2Y Technology

Application Suggestions for X2Y Technology Application Suggestions for X2Y Technology The following slides show applications that would benefit from balanced, low inductance X2Y devices. X2Y devices can offer a significant performance improvement

More information

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies

O N C A D E N C E V I R T U O S O. CHEN, Jason Application Engineer, Keysight Technologies O N C A D E N C E V I R T U O S O CHEN, Jason 2018.05.08 Application Engineer, Keysight Technologies Introduction to Momentum Momentum Features for RFIC Design Circuit/EM Cosimulation Flow on Cadence Virtuoso

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Non-Linear Finite Element Methods in Solid Mechanics Attilio Frangi, Politecnico di Milano, February 3, 2017, Lesson 1

Non-Linear Finite Element Methods in Solid Mechanics Attilio Frangi, Politecnico di Milano, February 3, 2017, Lesson 1 Non-Linear Finite Element Methods in Solid Mechanics Attilio Frangi, attilio.frangi@polimi.it Politecnico di Milano, February 3, 2017, Lesson 1 1 Politecnico di Milano, February 3, 2017, Lesson 1 2 Outline

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

Sorting Through EM Simulators

Sorting Through EM Simulators DesignFeature DAVE MORRIS Application Engineer Agilent Technologies, Lakeside, Cheadle Royal Business Park, Stockport 3K8 3GR, England; e-mail: david_morris@agilent.com, www.agilent.com. ELECTRONICALLY

More information

Transactions on Engineering Sciences vol 3, 1993 WIT Press, ISSN

Transactions on Engineering Sciences vol 3, 1993 WIT Press,   ISSN Invited Paper Tubes and slices: a software package for dual field calculations J.K. Sykulski Department of Electrical Engineering, University of Southampton, Southampton SO9 5NH, UK ABSTRACT TAS is the

More information

EFFECTIVE PEEC MODELING OF TRANSMISSION LINES STRUCTURES USING A SELECTIVE MESH APPROACH

EFFECTIVE PEEC MODELING OF TRANSMISSION LINES STRUCTURES USING A SELECTIVE MESH APPROACH EFFECTIVE PEEC MODELING OF TRANSMISSION LINES STRUCTURES USING A SELECTIVE MESH APPROACH A.B Gurulakshmi 1 and Dr. N. Suresh Kumar 2 1 Department of Electronics and Communication Engineering,Vickram College

More information

Proposal for SAS 2.x Specification to Enable Support for Active Cables

Proposal for SAS 2.x Specification to Enable Support for Active Cables 08-052r5 Proposal for SAS 2.x Specification to Enable Support for Active Cables Gourgen Oganessyan QUELLAN June 5, 2008 Introduction Inclusion of active cable interconnect option into the SAS specification

More information

Integrating ADS into a High Speed Package Design Process

Integrating ADS into a High Speed Package Design Process Integrating ADS into a High Speed Package Design Process Page 1 Group/Presentation Title Agilent Restricted Month ##, 200X Agenda High Speed SERDES Package Design Requirements Performance Factor and Design

More information

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories

By Joe Grimm, Business Development Manager, RFIC Switches, California Eastern Laboratories 4590 Patrick Henry Drive Santa Clara, CA 95054-1817 Telephone: (408) 988-3500 Facsimile: (408) 988-0279 CMOS RFIC Switches: Simple and inexpensive, the latest 2.5GHz versions pose a legitimate challenge

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

1 Projective Geometry

1 Projective Geometry CIS8, Machine Perception Review Problem - SPRING 26 Instructions. All coordinate systems are right handed. Projective Geometry Figure : Facade rectification. I took an image of a rectangular object, and

More information

Fast Radial Basis Functions for Engineering Applications. Prof. Marco Evangelos Biancolini University of Rome Tor Vergata

Fast Radial Basis Functions for Engineering Applications. Prof. Marco Evangelos Biancolini University of Rome Tor Vergata Fast Radial Basis Functions for Engineering Applications Prof. Marco Evangelos Biancolini University of Rome Tor Vergata Outline 2 RBF background Fast RBF on HPC Engineering Applications Mesh morphing

More information

EMI/ESD Filters for Cellular Phones

EMI/ESD Filters for Cellular Phones EMI/ESD Filters for Cellular Phones Cellular phones, as with all handheld and wireless devices are susceptible to the damaging effects of Electrostatic Discharge (ESD) transients. As much as 40 kilovolts

More information

At Sonnet, we've been developing 3D planar high frequency EM software since 1983, and our software has earned a solid reputation as the world's most

At Sonnet, we've been developing 3D planar high frequency EM software since 1983, and our software has earned a solid reputation as the world's most 14.52 Rev 1.0 At Sonnet, we've been developing 3D planar high frequency EM software since 1983, and our software has earned a solid reputation as the world's most accurate commercial planar EM analysis

More information

Variational Geometric Modeling with Wavelets

Variational Geometric Modeling with Wavelets Variational Geometric Modeling with Wavelets Steven J. Gortler and Michael F. Cohen Microsoft Research Redmond, WA (excerpted from Hierarchical and Variational Geometric Modeling with Wavelets, by Steven

More information

Introduction to AWR Design Flow and New Features for V10

Introduction to AWR Design Flow and New Features for V10 Introduction to AWR Design Flow and New Features for V10 What s New In Version 10 imatch Matching Network Synthesis Matching Network Synthesis Tight integration with AWR tools Excellent starting point

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Design of Polygonal Patch Antennas with a. Broad-Band Behavior via a Proper Perturbation of Conventional Rectangular Radiators

Design of Polygonal Patch Antennas with a. Broad-Band Behavior via a Proper Perturbation of Conventional Rectangular Radiators Università degli Studi ROMA TRE Dipartimento di Elettronica Applicata Via della Vasca Navale 84 00146 Roma Design of Polygonal Patch Antennas with a Broad-Band Behavior via a Proper Perturbation of Conventional

More information

Skill Development Centre by AN ISO CERTIFIED COMPANY

Skill Development Centre by AN ISO CERTIFIED COMPANY Skill Development Centre by AN ISO CERTIFIED COMPANY Industrial Automation Training Embedded/ VLSI system design Electrical control panel Design Product Development Fiber optics Technician Electrician

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

The Fast Multipole Method (FMM)

The Fast Multipole Method (FMM) The Fast Multipole Method (FMM) Motivation for FMM Computational Physics Problems involving mutual interactions of N particles Gravitational or Electrostatic forces Collective (but weak) long-range forces

More information

Compu&ng Correspondences in Geometric Datasets. 4.2 Symmetry & Symmetriza/on

Compu&ng Correspondences in Geometric Datasets. 4.2 Symmetry & Symmetriza/on Compu&ng Correspondences in Geometric Datasets 4.2 Symmetry & Symmetriza/on Symmetry Invariance under a class of transformations Reflection Translation Rotation Reflection + Translation + global vs. partial

More information

EM Software & Systems GmbH

EM Software & Systems GmbH EM Software & Systems GmbH Otto-Lilienthal-Straße 36 D-71034 Böblingen GERMANY Telefon +49 7031 714 5200 Telefax +49 7031 714 5249 E-Mail Web info@emss.de http://www.emss.de FEKO Benchmark to handle big

More information

Antenna-Simulation of a Half-wave Dielectric Resonator filter

Antenna-Simulation of a Half-wave Dielectric Resonator filter Antenna-Simulation of a Half-wave Dielectric Resonator filter 1. Description A symmetric model of a dielectric resonator filter is analyzed using the Scattering parameters module of HFWorks to determine

More information

The Monte Carlo analysis can vary basic components and models - subcircuit data is not varied during the analysis.

The Monte Carlo analysis can vary basic components and models - subcircuit data is not varied during the analysis. Monte Carlo Analysis Old Content - visit altium.com/documentation Modified by Phil Loughhead on 4-Mar-2014 Description Monte Carlo analysis allows you to perform multiple simulation runs with component

More information

Why Use the GPU? How to Exploit? New Hardware Features. Sparse Matrix Solvers on the GPU: Conjugate Gradients and Multigrid. Semiconductor trends

Why Use the GPU? How to Exploit? New Hardware Features. Sparse Matrix Solvers on the GPU: Conjugate Gradients and Multigrid. Semiconductor trends Imagine stream processor; Bill Dally, Stanford Connection Machine CM; Thinking Machines Sparse Matrix Solvers on the GPU: Conjugate Gradients and Multigrid Jeffrey Bolz Eitan Grinspun Caltech Ian Farmer

More information

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices.

This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Course Introduction Purpose This Part-B course discusses design techniques that are used to reduce noise problems in large-scale integration (LSI) devices. Objectives Learn approaches and design methods

More information

2. Control Pin Functions and Applications

2. Control Pin Functions and Applications IMARY CONTROL ( PIN) Module Enable / Disable. The module can be disabled by pulling the below 2.3 V with respect to the Input. This should be done with an open-collector transistor, relay, or optocoupler.

More information

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Microelettronica. J. M. Rabaey, Digital integrated circuits: a design perspective EE141 Microelettronica Microelettronica J. M. Rabaey, "Digital integrated circuits: a design perspective" Introduction Why is designing digital ICs different today than it was before? Will it change in future? The First Computer

More information

Miniature Ceramic Thin Film Filters

Miniature Ceramic Thin Film Filters Miniature Ceramic Thin Film Filters Gavin A. Ripley Principal RF Engineer (BSC Filters ltd.) Abstract This presentation describes the design and manufacture of surface mount miniaturised filters offering

More information

Development of innovative ALD materials for high density 3D integrated capacitors

Development of innovative ALD materials for high density 3D integrated capacitors Development of innovative ALD materials for high density 3D integrated capacitors Malte Czernohorsky General Trend: System miniaturization Integration of passive components Capacitors Inductors Resistors

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

Meshless Modeling, Animating, and Simulating Point-Based Geometry

Meshless Modeling, Animating, and Simulating Point-Based Geometry Meshless Modeling, Animating, and Simulating Point-Based Geometry Xiaohu Guo SUNY @ Stony Brook Email: xguo@cs.sunysb.edu http://www.cs.sunysb.edu/~xguo Graphics Primitives - Points The emergence of points

More information

MA 323 Geometric Modelling Course Notes: Day 28 Data Fitting to Surfaces

MA 323 Geometric Modelling Course Notes: Day 28 Data Fitting to Surfaces MA 323 Geometric Modelling Course Notes: Day 28 Data Fitting to Surfaces David L. Finn Today, we want to exam interpolation and data fitting problems for surface patches. Our general method is the same,

More information

Learning and Recognizing Visual Object Categories Without First Detecting Features

Learning and Recognizing Visual Object Categories Without First Detecting Features Learning and Recognizing Visual Object Categories Without First Detecting Features Daniel Huttenlocher 2007 Joint work with D. Crandall and P. Felzenszwalb Object Category Recognition Generic classes rather

More information

IN RECENT years, neural network techniques have been recognized

IN RECENT years, neural network techniques have been recognized IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 4, APRIL 2008 867 Neural Network Inverse Modeling and Applications to Microwave Filter Design Humayun Kabir, Student Member, IEEE, Ying

More information

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as

applies to general (nontree) RC circuits can be eciently, globally optimized clock meshes busses with crosstalk Contribution dominant time constant as Optimal Wire and Transistor Sizing for Circuits With Non-Tree Topology Lieven Vandenberghe (UCLA) Stephen Boyd (Stanford University) Abbas El Gamal (Stanford University) applies to general (nontree) RC

More information

A Systems View of Large- Scale 3D Reconstruction

A Systems View of Large- Scale 3D Reconstruction Lecture 23: A Systems View of Large- Scale 3D Reconstruction Visual Computing Systems Goals and motivation Construct a detailed 3D model of the world from unstructured photographs (e.g., Flickr, Facebook)

More information

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features Description The SFC2282-50 is a low pass T-filter with integrated TVS diodes. It is designed to provide bidirectional filtering of EMI/RFI signals and electrostatic discharge (ESD) protection in portable

More information

Scientific Visualization Example exam questions with commented answers

Scientific Visualization Example exam questions with commented answers Scientific Visualization Example exam questions with commented answers The theoretical part of this course is evaluated by means of a multiple- choice exam. The questions cover the material mentioned during

More information

Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout

Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout Practical Shielding, EMC/EMI, Noise Reduction, Earthing and Circuit Board Layout Contents 1 Introduction 1 1.1 Introduction 1 1.2 EMI vs EMC 3 1.3 Interference sources 3 1.4 Need for standards 5 1.5 EMC

More information

Copyright 2008 Linear Technology. All rights reserved. Getting Started

Copyright 2008 Linear Technology. All rights reserved. Getting Started Copyright. All rights reserved. Getting Started Copyright. All rights reserved. Draft a Design Using the Schematic Editor 14 Start with a New Schematic New Schematic Left click on the New Schematic symbol

More information

Thursday, September 15, electronic components

Thursday, September 15, electronic components electronic components a desktop computer relatively complex inside: screen (CRT) disk drive backup battery power supply connectors for: keyboard printer n more! Thursday, September 15, 2011 integrated

More information

Physics 120/220 Lab Equipment, Hints & Tips

Physics 120/220 Lab Equipment, Hints & Tips Physics 120/220 Lab Equipment, Hints & Tips Solderless Breadboard... 2 Power supply... 4 Multimeters... 5 Function generator... 5 Oscilloscope... 6 10X probe... 7 Resistor color code... 7 Components...

More information

CENG 4480 Lecture 11: PCB

CENG 4480 Lecture 11: PCB CENG 4480 Lecture 11: PCB Bei Yu Reference: Chapter 5 of Ground Planes and Layer Stacking High speed digital design by Johnson and Graham 1 Introduction What is a PCB Why we need one? For large scale production/repeatable

More information

The Design of 2.4GHz LTCC Band-Pass Filters with Enhanced Stop-Band Characteristics Leung Wing Yan Kitty Sept. 15, 2001

The Design of 2.4GHz LTCC Band-Pass Filters with Enhanced Stop-Band Characteristics Leung Wing Yan Kitty Sept. 15, 2001 ADS Application Notes Microwave Laboratory, Department of Electronic Engineering The Chinese University of Hong Kong The Design of 2.4GHz LTCC Band-Pass Filters with Enhanced Stop-Band Characteristics

More information

An Introduction to the Finite Difference Time Domain (FDTD) Method & EMPIRE XCcel

An Introduction to the Finite Difference Time Domain (FDTD) Method & EMPIRE XCcel An Introduction to the Finite Difference Time Domain (FDTD) Method & EMPIRE XCcel Simulation Model definition for FDTD DUT Port Simulation Box Graded Mesh six Boundary Conditions 1 FDTD Basics: Field components

More information

High Speed and High Power Connector Design

High Speed and High Power Connector Design High Speed and High Power Connector Design Taiwan User Conference 2014 Introduction High speed connector: Electrically small Using differential signaling Data rate >100Mbps High power connector: Static

More information

Geometric Modeling in Graphics

Geometric Modeling in Graphics Geometric Modeling in Graphics Part 10: Surface reconstruction Martin Samuelčík www.sccg.sk/~samuelcik samuelcik@sccg.sk Curve, surface reconstruction Finding compact connected orientable 2-manifold surface

More information

Supervised vs. Unsupervised Learning

Supervised vs. Unsupervised Learning Clustering Supervised vs. Unsupervised Learning So far we have assumed that the training samples used to design the classifier were labeled by their class membership (supervised learning) We assume now

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Advanced Devices, Packaging, and Materials Horizontal Research Center Aaron Oki NG Fellow Northrop Grumman Center Motivation Active and

More information

Agilent 85194K IC-CAP BSIM4 Modeling Package

Agilent 85194K IC-CAP BSIM4 Modeling Package Agilent 85194K IC-CAP BSIM4 Modeling Package Technical Overview The BSIM4 Modeling Package The BSIM4 Modeling Package offers a complete DC-to-RF CMOS modeling toolkit for U.C. Berkeley s BSIM4 model. Developed

More information

High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1. electromagnetic. (EM) simulation. tool of the practic-

High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1. electromagnetic. (EM) simulation. tool of the practic- High-Frequency Algorithmic Advances in EM Tools for Signal Integrity Part 1 By John Dunn AWR Corporation Only 30 years ago, This two-part series discusses new advances in the (EM) simulation electromagnetic

More information

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices.

This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Course Introduction Purpose This Part-A course discusses techniques that are used to reduce noise problems in the design of large scale integration (LSI) devices. Objectives Understand the requirement

More information