What s New in HyperLynx 8.0

Size: px
Start display at page:

Download "What s New in HyperLynx 8.0"

Transcription

1

2 What s New in HyperLynx 8.0 Copyright Mentor Graphics Corporation 2009 All Rights Reserved. Mentor Graphics, Board Station XE Flow, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks of Mentor Graphics Corporation. FPGA BoardLink, Design Capture, DesignView, TeamPCB, Expedition, Waveform Analyzer, BoardLink Pro, SymGen, CellGen, Library Manager, DxDesigner, Board Architect, and FPGA Xchange are trademarks of Mentor Graphics Corporation. All other products are the trademarks or registered trademarks of their respective owners.

3 Table of Contents What s New in HyperLynx HyperLynx HyperLynx PI...2 Setup Wizards...2 Pre- and Post-Layout Analysis...3 Pre-Layout Analysis...3 Post-Layout Analysis...4 Power Distribution Network Noise Analysis...5 DC Voltage Drop Analysis...6 Decoupling Analysis...7 Bypass Analysis...7 Improved Differential-Via Modeling...7 HyperLynx SI Enhancements...8 Improved Support of Advanced-Memory Interfaces (DDR2/3)...8 DDR Wizard...8 DDR2/DDR3 Wizard...9 Upgraded IBIS-Keyword Support...9 Upgraded IBIS-Keyword Support...10 Improvements Transmission Line Models...10 Coupling Transmission Lines...11 Touchstone Transformer Enhancements...11 Fast-Eye Simulation Improvements...12 Enhanced Multiboard Support...13 Oscilloscope Improvements...14 Simulation Sweeps...14 Simulation Sweeps...15 Per-Net / Per-Pin Stimulus...16 Re-Use of Stackups...17 Design and Technology Kits...17 Integration with Expedition Enterprise and Board Station XE...18 LineSim to CES Topology Templates...18 CES to LineSim...19 CES to BoardSim...19 DxDesigner to LineSim...20 Additional Operating System Support...20 What s New in HyperLynx 8.0 1

4 HyperLynx 8.0 This latest release of HyperLynx is the most comprehensive update in the history of the product. The most significant update is the addition of HyperLynx PI, the new Power Integrity analysis tool. Other additions include wizards to aid in rapid setup and execution of analysis parameters. Also, integration with Expedition Enterprise and Board Station XE has been enhanced, making transition from schematic environment to analysis environment much quicker and easier. Details of these, and all additions and enhancements, are in the following sections. HyperLynx PI HyperLynx PI is a significant new tool that provides the fastest time to accurate results. Present demands on PCB design often require several sometimes tens of different voltages on the same board. This means broken-up power planes that can create current density hot spots or increased voltage drop across the plane. Beyond that, the magnitude of the voltages (1.2 vdc or less) means that noise margins are very small. Thus, ensuring the integrity of board power is no longer possible using the old rules of thumb. Setup Wizards Because of the complexities of PI analysis, HyperLynx PI introduces an easy-to-use wizard environment from which to setup and run analysis suites. The image below illustrates the ease of stepping through the wizards to provide the necessary analysis output. This new wizard can cut test setup from hours or days, to just a few minutes. What s New in HyperLynx 8.0 2

5 Pre- and Post-Layout Analysis The further down the design path a project moves before discovering errors, the greater the cost to correct the error. Moving analysis as far towards the beginning of the project as possible results in significant cost savings. HyperLynx PI allows both pre-layout and post-layout analysis. Pre-Layout Analysis Analysis with HyperLynx PI can begin prior to starting the actual layout. The Pre-layout editor allows physical PI scenarios to be entered and simulated. For example, in the illustration a board outline with both a split and a void is proposed. The analysis can proceed, identifying problems and accurately determining the true number of decoupling capacitors required for the given power and speed requirements of the PCB. What s New in HyperLynx 8.0 3

6 All power integrity features are available for pre-layout use in addition to post-layout. This allows for detailed analysis very early in the design cycle and maximum what if? flexibility. For example, below, the vias proposed in the schematic are analyzed prior to layout to determine their effects on the board s power system. Post-Layout Analysis Once the layout has been finished, a completed power integrity analysis can be run prior to producing a prototype. Any issues can be corrected prior to spending any money on a first product. What s New in HyperLynx 8.0 4

7 Power Distribution Network Noise Analysis Locating and rectifying noise sources affecting the power distribution network (PDN) can be very difficult. HyperLynx PI greatly simplifies the task and provides pin-point identification of trouble spots that need attention. Simulation uses current pulses to imitate the I/O, core, and block power-up/down current demand. The analysis includes effects of planes, decoupling capacitors, and stitching vias. The results are presented graphically as a detailed noise profile, shown below. What s New in HyperLynx 8.0 5

8 DC Voltage Drop Analysis With the continued use of very low DC voltages for some applications, the DC voltage drop along traces or voltage planes becomes critical. No longer can even 100 mv of drop be tolerated on low voltage nets. Fragmented or discontinuous power planes can produce excessive DC currents in bottlenecks. In addition, the density of the metal, large pin fields, and vias can cause current density issues. Simple VRM and DC-load models are used to calculate the current density. The results are displayed graphically so that hot-spots can be easily located and corrected, as illustrated below. What s New in HyperLynx 8.0 6

9 Decoupling Analysis Decoupling capacitors are often placed using rules of thumb that may have been defined when there was just one voltage (or at most, just a few) and significantly slower switching speeds. HyperLynx PI now allows for precise determination of the number, location, and capacitance of each bypass cap. The entire decoupling network is extracted and graphically presented as an impedance profile. Automatically included are the effects of capacitor mounting inductance, plane inductance, dielectric effects, and metal losses. Capacitors can be modeled several ways: with simple C-ESL-ESR, SPICE, or S-parameters. As illustrated below, the entire bypass analysis is wizard-driven, producing accurate results quickly and efficiently. Bypass Analysis Bypass analysis is very similar to decoupling analysis. However, instead of an impedance profile, bypass analysis shows the input impedance viewed from the location of signal vias. HyperLynx PI presents Z-parameter data, facilitating understanding the impedance effects. Or, the user can view S-parameters to understand loss effects, as shown below. Improved Differential-Via Modeling Differential-via modeling is enhanced in this release of HyperLynx. The new model includes common-mode effects, which are very important for differential signals with skew, or other problems that cause common-mode conversion. What s New in HyperLynx 8.0 7

10 HyperLynx SI Enhancements HyperLynx 8.0 introduces new signal integrity enhancements. These include transmission line model improvements, S-Parameter enhancements, improvements to Fast Eye Simulation including a new wizard environment for Fast Eye Diagrams, improved differential-via modeling, and a SERDES Design Kit Configurator. Improved Support of Advanced-Memory Interfaces (DDR2/3) Signal Integrity capabilities for support of advanced DDR, DDR2, and DDR3 devices are enhanced and made easier to use than even prior versions. The features are described in this section. Wizards now make using these devices quick and reasonably simple. This new support feature analyzes timing for both address and data buses. HyperLynx can produce measurements for clock-to-strobe skew, timing and signal integrity on all signal edges, and offers advanced crosstalk simulation. DDR Wizard The new wizard allows exceptionally quick setup of simulations, saving time and allowing many more simulations (and thus better accuracy) than other simulators. Parameters are easily set with sliders and menus. Associations are set up for clocks and address/control signals. Stimulus can be set by per-pin or per-net to support timing offsets. Read and write operations are configurable to eliminate wasted non-meaningful results. And, configurations can be saved to allow almost instant re-simulation using the same parameters. What s New in HyperLynx 8.0 8

11 DDR2/DDR3 Wizard The wizard also supports DDR3 timing alignment for clock and strobe signals, which is required for the new fly-by architecture. This provides comprehensive reports of timing results, including full timing and SI analysis with pass/fail for setup and hold times. Also included is DT de-rating for setup and hold times. The new function provides analysis for both data (source synchronous) and address/control signals (common clock). What s New in HyperLynx 8.0 9

12 Upgraded IBIS-Keyword Support Enhanced support for IBIS keywords is critical to advanced-memory simulation for receiver thresholds and model specifications. This data is automaticically used for batchmode simulation, and the measurements are made available in an interactive oscilloscope. Improvements Transmission Line Models Important improvements have been made to lossy transmission line simulation based on the best-available research regarding PCB dielectric modeling. These utilize the more accurate multi-pole Debye models, which now give ultra-wideband frequency (DC to > 50 GHz) support with completely correct low-frequency asymptotics, which is missing in many other simulators. In addition, support for modeling copper surface roughness has been added, which is critical for analysis of 6 Gbps and higher bit-rate signals. What s New in HyperLynx

13 Coupling Transmission Lines Quickly and easily create coupled transmission line segments with this new feature. The user can select multiple transmission lines in a schematic, and then couple them together with a simple right-click of the mouse. Touchstone Transformer Enhancements Enhancements in S-parameter modeling include addition of a standalone, complex-pole fitter. This makes fitting S-parameter models more explicit and visible. Also a new wizard-driven transform application has been added. This allows easy setup of passivity and symetry enforcemenet, conversion between S/Y/Z parameters, conversion between standard and mixed parematers, S-parameter renormalization (for viewing), and safe port reduction with auto termination of eliminated ports. What s New in HyperLynx

14 Fast-Eye Simulation Improvements This release of HyperLynx features a number of new fast-eye features, including: sinusoidal deterministic jitter (can be used to model crosstalk, power-integrity effects, etc.), receiver decision feedback equalization (DFE) and driver pre-emphasis, synthesis of optimal DFE and pre-emphasis, advanced jitter controls, and nested statistical eye contours to allow correlation of eye closing to probability of occurrence. Standalone Wizard/Flow for Fast Eye Diagrams Consistent with the thinking across all HyperLynx tools, Fast-Eye Simulation has been re-implemented in a standalone wizard. This new wizard gives more control of the simulation to the user. Mentor s FastEye solution is orders of magnitude faster than traditional simulation approaches, producing very fast and accurate results. The flow can be integrated with HyperLynx, or advanced users can run the flow from external files. The addition of the wizard makes the flow easier to understand and control. What s New in HyperLynx

15 Enhanced Multiboard Support Advanced connector models are supported in the Multiboard Wizard. This graphical editor performs model swathing. Its easy-to-use drag and drop feature makes connections between connectors fast and easy. Then, the model can be extracted and exported to LineSim. What s New in HyperLynx

16 Oscilloscope Improvements There are a number of improvements to the oscilloscope function that makes it easier to use and presents more accurate data. Measurements have been improved to operate on multiple edges, and includes display of overshoot, flight time, and edge rates. The new functions include: Enable/Disable All Probes Import multiple saved waveforms What s New in HyperLynx

17 Simulation Sweeps HyperLynx now has the capability to parametrically sweep a wide variety of LineSim or BoardSim interactive simulations, including stackups, transmission lines or segments, passive component values, IC corners, and IBIS model selectors. The central sweep manager allows easy global views and control of the sweep parameters. In pre-layout, simulation sweeps can be cross probed between the sweeps manager selection and schematic in LineSim. What s New in HyperLynx

18 Per-Net / Per-Pin Stimulus This new feature allows each IC pin to receive a unique stimulus. This is required for source synchronous buses, and is excellent for locating crosstalk. The stimulus is easy to create and name, and then is applied by name to nets in BoardSim or pins in LineSim. What s New in HyperLynx

19 Re-Use of Stackups Now, users can save stackups as re-usable IP. This saves the time and possibility of errors associated with re-entering all the physical parameters. The saved stackup can be loaded into any LineSim or BoardSim design. Design and Technology Kits Kits are now availabe for specific design and simulation of a number of devices and interfaces. These include: DDR DDR2 Fibre Channel PCI-X PCI Express USB Serial ATA SAS What s New in HyperLynx

20 Integration with Expedition Enterprise and Board Station XE HyperLynx 8.0 improves integration, and therefore the efficiency of both tools. Each of the enhancements is described in detail in this section. LineSim to CES Topology Templates With the enhanced integration, LineSim can now be used to graphically define topology templates and enter their constraints. Among definable constraints are length/delay, differential pairs, detailed route ordering, as well as advanced formula usage. The illustration shows how easily constraints can be entered. What s New in HyperLynx

21 CES to LineSim Conversely, enhanced integration lets users extract nets from CES to LineSim. This provides an easy starting point for topology-template definition or refinement. The following screen shot illustrates the ease of net extraction. CES to BoardSim New capabilities also allow CES to transfer constraints to BoardSim for batch simulation and checking. BoardSim can perform batch simulation on both electrical constraints and model assignments, as shown below. What s New in HyperLynx

22 DxDesigner to LineSim Now, users can extract nets from DxDesigner for use in LineSim. This also includes back annotation. Extraction of nets for use in LineSim is the starting point for topologytemplate definition refinement. The screenshot illustrates how easily extraction is. Additional Operating System Support Finally, increased integration brings support for additional operating system. In addition to the existing OS support (Microsoft Windows 2000, Microsoft Windows XP, Sun Solaris 2.8/9/10), now additional operating systems that are supported included Red Hat Linux and Microsoft Windows Vista. What s New in HyperLynx

23 MF :090123

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

designs with signals operating in the multi-gigahertz (MGH) frequency range. It DATASHEET ALLEGRO PCB SI GXL Cadence Allegro PCB SI GXL provides a virtual prototyping environment for designs with signals operating in the multi-gigahertz (MGH) frequency range. It offers a completely

More information

HYPERLYNX DDR3 Wizard

HYPERLYNX DDR3 Wizard HYPERLYNX DDR3 Wizard w w w. m e n t o r. c o m HyperLynx DDR3 Wizard Virtual Lab Exercise Guide This tutorial outlines the steps for completing a DDR3 Wizard DDR analysis example in HyperLynx BoardSim.

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

HyperLynx DDRx Interface Analysis. Student Workbook

HyperLynx DDRx Interface Analysis. Student Workbook HyperLynx DDRx Interface Analysis Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS

CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS CREATING A 3D VIA MODEL IN HYPERLYNX FOR CHANNEL ANALYSIS w w w. m e n t o r. c o m Creating a 3D Via Model in HyperLynx for Channel Analysis This lab will illustrate the integrated 3D via solver within

More information

PCB Design Tools User Guide

PCB Design Tools User Guide PCB Design Tools User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Signal Integrity

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM

ALLEGRO PCB SI 630 DATASHEET VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS THE ALLEGRO SYSTEM INTERCONNECT DESIGN PLATFORM DATASHEET ALLEGRO PCB SI 630 VIRTUAL PROTOTYPING ENVIRONMENT FOR DESIGNS WITH MULTI-GIGAHERTZ SIGNALS Cadence Allegro PCB SI 630 a key PCB Signal Integrity tool within the Allegro system interconnect design

More information

High-Speed DDR4 Memory Designs and Power Integrity Analysis

High-Speed DDR4 Memory Designs and Power Integrity Analysis High-Speed DDR4 Memory Designs and Power Integrity Analysis Cuong Nguyen Field Application Engineer cuong@edadirect.com www.edadirect.com 2014 1 PCB Complexity is Accelerating Use of Advanced Technologies

More information

HyperLynx 教程. Pre-layout (LineSim):

HyperLynx 教程. Pre-layout (LineSim): HyperLynx 教程 Pre-layout (LineSim): Signal-integrity and EMC analysis Crosstalk and differential-signal analysis Analysis for gigabit-per-second, SERDES-based designs Stackup and impedance planning Post-layout

More information

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation

An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation An Innovative Simulation Workflow for Debugging High-Speed Digital Designs using Jitter Separation C. Chastang, A. Amédéo V. Poisson, P. Grison, F. Demuynck C. Gautier, F. Costa Thales Communications &

More information

Optimization of Modern Memory

Optimization of Modern Memory System Design, Verificationand and Optimization of Modern Memory Interfaces (DDR3) Santa Clara, Aug 23 rd 2011 Robert Myoung Sr. Application Engineer 1 Agenda Introduction ECAD Geometry Translation SI/PI

More information

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam

High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs. I.K. Anyiam High-Speed Layout Guidelines for Reducing EMI for LVDS SerDes Designs I.K. Anyiam 1 Introduction LVDS SerDes helps to reduce radiated emissions, but does not completely eliminate them EMI prevention must

More information

Personal Automated Design System Release Highlights

Personal Automated Design System Release Highlights Personal Automated Design System Release Highlights Software Version: PADS VX.2.3 February 2018 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

PADS Professional Release Highlights

PADS Professional Release Highlights PADS Professional Release Highlights Software Version PADS Professional VX.2.3 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-EM Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-EM Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V

Symbol Parameter Min Typ Max VDD_CORE Core power 0.9V 1.0V 1. 1V. VDD33 JTAG/FLASH power 2.97V 3.3V 3.63V 1 Introduction The user guide provides guidelines on how to help you successfully design the CME-M7 board which includes the power supply, configuration, clock, DDR2 or DDR3, high speed USB, LVDS and ADC

More information

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013

Cadence Power Integrity Solutions For PCBs and IC Packages. May 2013 Cadence Power Integrity Solutions For PCBs and IC Packages May 2013 Simultaneous Switching Noise (SSN) A Power Integrity Issue Design with decaps intentionally removed to demonstrate how poor PI performance

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in PCI Express Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction with

More information

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

Application Note. PCIE-RA Series Final Inch Designs in PCI Express Applications Generation GT/s PCIE-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2012, Inc. COPYRIGHTS, TRADEMARKS, and PATENTS Final Inch is a trademark of, Inc.

More information

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009

Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation Gbps. Revision Date: February 13, 2009 Q2 QMS/QFS 16mm Stack Height Final Inch Designs In PCI Express Applications Generation 2 5.0 Gbps Revision Date: February 13, 2009 Copyrights and Trademarks Copyright 2009 Samtec, Inc. Developed in conjunction

More information

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height

Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Application Note Q Pairs QTE/QSE-DP Final Inch Designs In PCI Express Applications 16 mm Stack Height Copyrights and Trademarks Copyright 2004 Samtec, Inc. Developed in conjunction with Teraspeed Consulting

More information

Board Design Guidelines for PCI Express Architecture

Board Design Guidelines for PCI Express Architecture Board Design Guidelines for PCI Express Architecture Cliff Lee Staff Engineer Intel Corporation Member, PCI Express Electrical and Card WGs The facts, techniques and applications presented by the following

More information

Implementing Multi-Gigabit Serial Links in a System of PCBs

Implementing Multi-Gigabit Serial Links in a System of PCBs Implementing Multi-Gigabit Serial Links in a System of PCBs Donald Telian April 2002 rev. 1.2 About the Author Donald Telian Technologist, Cadence Design Systems Donald has been involved in high-speed

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

LVDS applications, testing, and performance evaluation expand.

LVDS applications, testing, and performance evaluation expand. Stephen Kempainen, National Semiconductor Low Voltage Differential Signaling (LVDS), Part 2 LVDS applications, testing, and performance evaluation expand. Buses and Backplanes D Multi-drop D LVDS is a

More information

Arria II GX FPGA Development Board

Arria II GX FPGA Development Board Arria II GX FPGA Development Board Overview 2011 Signal Integrity Report Introduction Signal Integrity Analysis The ArriaII GX development kit board has several high speed interfaces. Each of these interfaces

More information

Solving the challenges posed by Chip/Package/Board Co-Design

Solving the challenges posed by Chip/Package/Board Co-Design Solving the challenges posed by Chip/Package/Board Co-Design Identify and locate sources of unwanted coupling Simulation link to EM: Critical Interconnect, Vias, Discontinuities, Embedded Passives, etc

More information

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s

SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation GT/s SEAM-RA/SEAF-RA Series Final Inch Designs in PCI Express Applications Generation 3-8.0 GT/s Copyrights and Trademarks Copyright 2011 Samtec, Inc. Developed in conjunction with Teraspeed Consulting Group

More information

Multi-Drop LVDS with Virtex-E FPGAs

Multi-Drop LVDS with Virtex-E FPGAs Multi-Drop LVDS with Virtex-E FPGAs XAPP231 (Version 1.0) September 23, 1999 Application Note: Jon Brunetti & Brian Von Herzen Summary Introduction Multi-Drop LVDS Circuits This application note describes

More information

Constraint Manager for xpcb Layout. Table of Contents

Constraint Manager for xpcb Layout. Table of Contents Table of Contents 2014 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s

PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation GT/s PCIEC PCI Express Jumper High Speed Designs in PCI Express Applications Generation 3-8.0 GT/s Mated with PCIE-RA Series PCB Connectors Copyrights and Trademarks Copyright 2015, Inc. COPYRIGHTS, TRADEMARKS,

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

Polliwog Product Lineup

Polliwog Product Lineup Polliwog Product Lineup Basic Suites PollEx PCB For reviewing ECAD Designs PollEx Logic For reviewing schematic designs PollEx BOM For importing MS/Excel format BOMs and intelligently reading in ASCII

More information

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004

QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Application Note QPairs QTE/QSE-DP Multi-connector Stack Designs In PCI Express Applications 16 mm Connector Stack Height REVISION DATE: OCTOBER 13, 2004 Copyrights and Trademarks Copyright 2004 Samtec,

More information

Designing and Verifying Future High Speed Busses

Designing and Verifying Future High Speed Busses Designing and Verifying Future High Speed Busses Perry Keller Agilent Technologies Gregg Buzard December 12, 2000 Agenda Bus Technology Trends and Challenges Making the transition: Design and Test of DDR

More information

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012

Electromagnetics. R14 Update. Greg Pitner ANSYS, Inc. February 24, 2012 Electromagnetics R14 Update Greg Pitner 1 HFSS Version 14 2 HFSS Overview Advanced Integrated Solver Technologies Finite Arrays with Domain Decomposition Hybrid solving: FEBI, IE Regions Physical Optics

More information

Allegro PCB PDN Analysis User Guide

Allegro PCB PDN Analysis User Guide Product Version 16.6 October 2012 Document Last Updated On: November 20, 2012 1991 2013 Cadence Design Systems, Inc. All rights reserved. Portions Apache Software Foundation, Sun Microsystems, Free Software

More information

Keysight U7231B, U7231C DDR3 and LPDDR3 Compliance Test Application For Infiniium Series Oscilloscopes DATA SHEET

Keysight U7231B, U7231C DDR3 and LPDDR3 Compliance Test Application For Infiniium Series Oscilloscopes DATA SHEET Keysight U7231B, U7231C DDR3 and LPDDR3 Compliance Test Application For Infiniium Series Oscilloscopes DATA SHEET Test, Debug and Characterize Your DDR3 and LPDDR3 Designs Quickly and Easily The Keysight

More information

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner

HFSS Solver On Demand for Package and PCB Characterization Using Cadence. Greg Pitner HFSS Solver On Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 Problem Statement Usually SI engineers extract only the package or the pcb due to the trade offs between capacity

More information

Making Your Most Accurate DDR4 Compliance Measurements. Ai-Lee Kuan OPD Memory Product Manager

Making Your Most Accurate DDR4 Compliance Measurements. Ai-Lee Kuan OPD Memory Product Manager Making Your Most Accurate DDR4 Compliance Measurements Ai-Lee Kuan OPD Memory Product Manager 1 Agenda DDR4 Testing Strategy Probing Analysis Tool Compliance Test Conclusion 2 DDR4 Testing Strategy 1.

More information

SPISim StatEye/AMI User s Guide

SPISim StatEye/AMI User s Guide SPISim StatEye/AMI User s Guide Latest Version: V20180315 SPISim LLC Vancouver, WA 98683, USA Tel. +1-408-905-6692 http://www.spisim.com This user s guide describes the SPISim s StatEye channel analysis

More information

High Speed and High Power Connector Design

High Speed and High Power Connector Design High Speed and High Power Connector Design Taiwan User Conference 2014 Introduction High speed connector: Electrically small Using differential signaling Data rate >100Mbps High power connector: Static

More information

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner

HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner HFSS Solver-On-Demand for Package and PCB Characterization Using Cadence Greg Pitner 1 ANSYS, Inc. September 14, Problem Statement Usually SI engineers extract only the package or the pcb due to the trade-offs

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 1-20-17 Any assistance, services, comments, information, or suggestions provided by Microchip (including without limitation any comments to the effect that the

More information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information

PI2EQX6874ZFE 4-lane SAS/SATA ReDriver Application Information Contents General Introduction How to use pin strap and I2C control External Components Requirement Layout Design Guide Power Supply Bypassing Power Supply Sequencing Equalization Setting Output Swing Setting

More information

AN 523: Cyclone III Devices Configuration Interface Guidelines with EPCS Devices

AN 523: Cyclone III Devices Configuration Interface Guidelines with EPCS Devices AN 523: Devices Configuration Interface Guidelines with Devices February 2014 AN-523-1.3 Introduction This application note provides the guidelines to Cyclone III family devices ( and LS devices) interfacing

More information

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE

HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE Page 1 of 8 HOME :: FPGA ENCYCLOPEDIA :: ARCHIVES :: MEDIA KIT :: SUBSCRIBE FPGA I/O When To Go Serial by Brock J. LaMeres, Agilent Technologies Ads by Google Physical Synthesis Tools Learn How to Solve

More information

Best practices for EMI filtering and IC bypass/decoupling applications

Best practices for EMI filtering and IC bypass/decoupling applications X2Y Component Connection and PCB Layout Guidelines Best practices for EMI filtering and IC bypass/decoupling applications X2Y Attenuators, LLC 1 Common X2Y Circuit Uses EMI FILTERING Conducted and Radiated

More information

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim

DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim DDR4 Design And Verification In Hyperlynx LINESIM/Boardsim Rod Strange Business Development Manager Teraspeed Consulting A Division of Samtec April 2016 Outline Objective/Goal DDR4 vs. DDR3 from the SI/PI

More information

EXAMINING THE IMPACT OF SPLIT PLANES ON SIGNAL AND POWER INTEGRITY

EXAMINING THE IMPACT OF SPLIT PLANES ON SIGNAL AND POWER INTEGRITY EXAMINING THE IMPACT OF SPLIT PLANES ON SIGNAL AND POWER INTEGRITY Jason R. Miller, Gustavo J. Blando, Roger Dame, K. Barry A. Williams and Istvan Novak Sun Microsystems, Burlington, MA 1 AGENDA Introduction

More information

SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007)

SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007) SAS-2 Zero-Length Test Load Characterization (07-013r7) Barry Olawsky Hewlett Packard (8/2/2007) 07-013r7 SAS-2 Zero-Length Test Load Characterization 1 Zero-Length Test Load Provides ideal connection

More information

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II

Advanced SI Analysis Layout Driven Assembly. Tom MacDonald RF/SI Applications Engineer II Advanced SI Analysis Layout Driven Assembly 1 Tom MacDonald RF/SI Applications Engineer II Abstract As the voracious appetite for technology continually grows, so too does the need for fast turn around

More information

PI2EQX6804-ANJE Four-lane SAS/SATA ReDriver Application Information May 13, 2011

PI2EQX6804-ANJE Four-lane SAS/SATA ReDriver Application Information May 13, 2011 Contents General Introduction How to use pin strap and I2C control External Components Requirement Layout Design Guide Power Supply Bypassing Power Supply Sequencing Equalization Setting Output Swing Setting

More information

Intel Quartus Prime Standard Edition User Guide

Intel Quartus Prime Standard Edition User Guide Intel Quartus Prime Standard Edition User Guide PCB Design Tools Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Simultaneous Switching

More information

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY

New Technologies in CST STUDIO SUITE CST COMPUTER SIMULATION TECHNOLOGY New Technologies in CST STUDIO SUITE 2016 Outline Design Tools & Modeling Antenna Magus Filter Designer 2D/3D Modeling 3D EM Solver Technology Cable / Circuit / PCB Systems Multiphysics CST Design Tools

More information

SPISim1. SPISim Modeling Suite. IBIS, IBIS-AMI model generation and general modeling

SPISim1. SPISim Modeling Suite. IBIS, IBIS-AMI model generation and general modeling SPISim1 SPISim Modeling Suite IBIS, IBIS-AMI model generation and general modeling SPISim EDA expertise in Signal, Power Integrity and Simulation EDA focusing on SI and PI: SPISim is an EDA company specialized

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Description. Features. Block Diagram DATASHEET DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) or LVDS input pairs

More information

An Overview of High-Speed Serial Bus Simulation Technologies

An Overview of High-Speed Serial Bus Simulation Technologies An Overview of High-Speed Serial Bus Simulation Technologies Asian IBIS Summit, Beijing, China September 11, 27.25.2.15.1.5 -.5 -.1 Arpad Muranyi arpad_muranyi@mentor.com Vladimir Dmitriev-Zdorov -.15

More information

CENG 4480 Lecture 11: PCB

CENG 4480 Lecture 11: PCB CENG 4480 Lecture 11: PCB Bei Yu Reference: Chapter 5 of Ground Planes and Layer Stacking High speed digital design by Johnson and Graham 1 Introduction What is a PCB Why we need one? For large scale production/repeatable

More information

2. Control Pin Functions and Applications

2. Control Pin Functions and Applications IMARY CONTROL ( PIN) Module Enable / Disable. The module can be disabled by pulling the below 2.3 V with respect to the Input. This should be done with an open-collector transistor, relay, or optocoupler.

More information

CADSTAR. Jeroen Leinders CADSTAR Distribution Manager. Zuken

CADSTAR. Jeroen Leinders CADSTAR Distribution Manager. Zuken CADSTAR Jeroen Leinders CADSTAR Distribution Manager 1 Introduction I m the CADSTAR distribution manager for Zuken managing approximately 30 resellers worldwide, based out of my home office in the Netherlands.

More information

IConnect SW for DSA8300* 1 Sampling Oscilloscope

IConnect SW for DSA8300* 1 Sampling Oscilloscope IConnect SW for DSA8300* 1 Sampling Oscilloscope 80SICMX 80SICON 80SSPAR Data Sheet Easily Analyze Sources of Interconnect Jitter, Losses, Crosstalk, Reflections, and Ringing Analyze Interconnects Concurrently

More information

Serial Link Analysis and PLL Model

Serial Link Analysis and PLL Model 25. July 2007 Serial Link Analysis and PLL Model September 11, 2007 Asian IBIS Summit, Beijing China Huang Chunxing huangchunxing@huawei.com www.huawei.com HUAWEI TECHNOLOGIES Co., Ltd. Agenda High-speed

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

Enabling SI Productivity Part 2. Venkatesh Seetharam Aaron Edwards

Enabling SI Productivity Part 2. Venkatesh Seetharam Aaron Edwards Enabling SI Productivity Part 2 Venkatesh Seetharam Aaron Edwards 1 Problem Statement SI engineers use simulation software to squeeze the most performance out of their design. They will tend to focus on

More information

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips

Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Technical Note LPSDRAM Unterminated Point-to-Point System Design: Layout and Routing Tips Introduction Background Low-power (LP) SDRAM, including both low-power double data rate (LPDDR) and

More information

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET

MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET The InterOperability Laboratory MIPI D-PHY REFERENCE TERMINATION BOARD (RTB) OVERVIEW AND DATASHEET Abstract: This document serves as the primary documentation for the MIPI D-PHY Reference Termination

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

JitKit. Operator's Manual

JitKit. Operator's Manual JitKit Operator's Manual March, 2011 LeCroy Corporation 700 Chestnut Ridge Road Chestnut Ridge, NY, 10977-6499 Tel: (845) 578-6020, Fax: (845) 578 5985 Internet: www.lecroy.com 2011 by LeCroy Corporation.

More information

5. Current Sharing in Power Arrays

5. Current Sharing in Power Arrays Maxi, Mini, Micro Family s and Configurable Power Supplies Whenever power supplies or converters are operated in a parallel configuration whether for higher output power, fault tolerance or both current

More information

APDS Wizard v4 for Nexxim/Designer 4.0 Specially optimized for DDR2/3 SI. Ansoft Korea Team

APDS Wizard v4 for Nexxim/Designer 4.0 Specially optimized for DDR2/3 SI. Ansoft Korea Team APDS Wizard v4 for Nexxim/Designer 4.0 Specially optimized for DDR2/3 SI Ansoft Korea Team APDS Wizard v4 All-Renewed, Enhanced Wizard. Version 4 means this new wizard would work with Designer/Nexxim v4

More information

AdvancedTCA Backplane Tester

AdvancedTCA Backplane Tester AdvancedTCA Backplane Tester Alexandra Dana Oltean, Brian Martin POLITEHNICA University Bucharest Romania and CERN 1211Geneva 23 Switzerland Email: alexandra.oltean@cern.ch, brian.martin@cern.ch CERN-OPEN-2005-014

More information

Complete PCB Design Using OrCad Capture and Layout

Complete PCB Design Using OrCad Capture and Layout Complete PCB Design Using OrCad Capture and Layout By Kraig Mitzner Amsterdam Boston Heidelberg London New York Oxford Paris San Diego San Francisco Singapore Sydney Tokyo Newnes is an imprint of Elsevier

More information

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响

ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 1 2015 ANSYS, Inc. March 3, 2016 PCB 板极电热耦合分析及对电子设备热设计的影响 Printed Circuit Board Reliability Real world operating conditions = Multiphysics environment Electrical Reliability Power and Signal Integrity

More information

Addressing the Power-Aware Challenges of Memory Interface Designs

Addressing the Power-Aware Challenges of Memory Interface Designs Addressing the Power-Aware Challenges of Memory Interface Designs One of the toughest challenges in designing memory interfaces is accurately measuring timing while also considering fluctuations in power

More information

Understanding IBIS-AMI Simulations

Understanding IBIS-AMI Simulations Understanding IBIS-AMI Simulations Agenda IBIS-AMI Assumptions & Terminology IBIS-AMI Model Components Analysis Stages & Simulation Types Algorithmic Model Types Static and Dynamic Equalization IBIS-AMI

More information

Thank you for downloading this product training module produced by 3M Electronic Solutions Division for Mouser. In this presentation, we will discuss

Thank you for downloading this product training module produced by 3M Electronic Solutions Division for Mouser. In this presentation, we will discuss 1 Thank you for downloading this product training module produced by 3M Electronic Solutions Division for Mouser. In this presentation, we will discuss a new 2mm hard metric connector that has been designed

More information

VIBBOX. 32, 48, or 64-Channel Sound & Vibration Solution Expansion to 256 Channels. Key Feature of Each VIBbox: Table 1. Key Features of VIBbox Models

VIBBOX. 32, 48, or 64-Channel Sound & Vibration Solution Expansion to 256 Channels. Key Feature of Each VIBbox: Table 1. Key Features of VIBbox Models VIBBOX 32, 48, or 64-Channel Sound & Vibration Solution Expansion to 256 Channels VIBbox is a high-accuracy, high channel count, dynamic signal analyzer system for sound and vibration applications. Each

More information

Optical Evaluation Kit for the ADN2530 Differential VCSEL Driver EVAL-ADN2530

Optical Evaluation Kit for the ADN2530 Differential VCSEL Driver EVAL-ADN2530 Optical Evaluation Kit for the ADN2530 Differential VCSEL Driver EVAL-ADN2530 GENERAL DESCRIPTION This data sheet describes the optical evaluation kit for the ADN2530, a 10 Gbps active back-terminated,

More information

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement

Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Todd Westerhoff (SiSoft) Mike Steinberger (SiSoft) Walter Katz (SiSoft) Barry Katz (SiSoft) Adge Hawes (IBM) Kent

More information

Agilent Technologies S-Parameter and TDR Impedance Measurement Solution Summary

Agilent Technologies S-Parameter and TDR Impedance Measurement Solution Summary Agilent Technologies S-Parameter and TDR Impedance Measurement Solution Summary Built-in S-parameter testing Easy and accurate transmission channel/media characterization Transmission lines for high speed

More information

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems

AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems AN 447: Interfacing Intel FPGA Devices with 3.3/3.0/2.5 V LVTTL/ LVCMOS I/O Systems Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents Interfacing Intel FPGA Devices with 3.3/3.0/2.5

More information

3D EM Simulation in the Design Flow of High-Speed Multi-Pin Connectors

3D EM Simulation in the Design Flow of High-Speed Multi-Pin Connectors 3D EM Simulation in the Design Flow of High-Speed Multi-Pin Connectors Application and Simulation courtesy of Thomas Gneiting, AdMOS GmbH, Frickenhausen, Germany. This article describes the design flow

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

Cadence simulation technology for PCB design

Cadence simulation technology for PCB design DATASHEET CADENCE SIMULATION FOR PCB DESIGN On larger designs especially, PCB design teams need fast and reliable simulation to achieve convergence. Cadence simulation technology for PCB design offers

More information

Layer Stackup Wizard: Intuitive Pre-Layout Design

Layer Stackup Wizard: Intuitive Pre-Layout Design Application Brief Layer Stackup Wizard: Intuitive Pre-Layout Design INTRODUCTION This Application Brief describes the Layer Stackup Wizard, a powerful utility within ANSYS SIwave for pre-layout stackup

More information

2. Recommended Design Flow

2. Recommended Design Flow 2. Recommended Design Flow This chapter describes the Altera-recommended design low or successully implementing external memory interaces in Altera devices. Altera recommends that you create an example

More information

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features

2 TO 4 DIFFERENTIAL CLOCK MUX ICS Features DATASHEET 2 TO 4 DIFFERENTIAL CLOCK MUX ICS557-06 Description The ICS557-06 is a two to four differential clock mux designed for use in PCI-Express applications. The device selects one of the two differential

More information

Arria II GX FPGA Development Board

Arria II GX FPGA Development Board Arria II GX FPGA Development Board DDR2 SODIMM Interface 2011 Help Document DDR2 SODIMM Interface Measurements were made on the DDR2 SODIMM interface using the Board Test System user interface. The Address,

More information

Specifying the PCB Design Rules and Resolving Violations

Specifying the PCB Design Rules and Resolving Violations Specifying the PCB Design Rules and Resolving Violations Summary This article introduces the PCB Design Rules System, in particular how rules are created and applied to objects in a design. It also describes

More information

Board Mounted. Power Converters. Digitally Controlled. Technical Paper 011 Presented at Digital Power Europe 2007

Board Mounted. Power Converters. Digitally Controlled. Technical Paper 011 Presented at Digital Power Europe 2007 Digitally Controlled Board Mounted Power Converters Technical Paper 011 Presented at Digital Power Europe 2007 This paper addresses hardware designers of Information and Communication Technology equipment,

More information

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA

MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA 2015.12.23 MIPI D-PHY Solution with Passive Resistor Networks in Altera Low Cost FPGA AN-754 Subscribe Introduction to MIPI D-PHY The Mobile Industry Processor Interface (MIPI) is an industry consortium

More information

Introduction...2 Prerequisites...2 What is a Global Net?...2 Net Scope...2 Defining Global Nets...3 Establishing Connectivity...5 Propagation...

Introduction...2 Prerequisites...2 What is a Global Net?...2 Net Scope...2 Defining Global Nets...3 Establishing Connectivity...5 Propagation... AppNote 10770 A P P N O T E S SM Managing Global Nets in DxDesigner 2007 By: Robert Davies Last Modified: November 6, 2009 Table of contents Introduction...2 Prerequisites...2 What is a Global Net?...2

More information