APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

Size: px
Start display at page:

Download "APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper."

Transcription

1 APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00

2 APEX programmable logic devices provide the flexibility and high density needed for system-on-a-programmable-chip (SOPC) applications. Their MultiCore architectures combine the benefits of look-up table (LUT) logic with embedded memory, saving board space and simplifying complex system design. APEX devices also offer True-LVDS dedicated circuitry for 80-Mbps data transfer rates. APEX 0KC devices, manufactured using all-layer copper interconnect technology, feature increased performance to address the high-bandwidth needs of communications applications.

3 APEX: A Revolutionary Embedded Architecture Figure. Improved Performance with APEX 0KC Devices The Altera APEX device family offers complete system-level integration on a single device. With the innovative MultiCore architecture, APEX FPGAs combine and enhance the strengths of previous programmable logic device (PLD) architectures and deliver the ultimate in design flexibility and efficiency for high-performance, SOPC applications (Figure 1). The new APEX 0KC devices are manufactured on a 0.15 µm all-layer copper interconnect technology to address the high-density, high-performance needs of communication applications. Performance 0 FLEX 10KE Aluminum 0.5-µm 6-layer metal APEX 0K Aluminum 0.-µm 6-layer metal APEX 0KE Aluminum 0.18-µm 8-layer metal Process and Metal Layers APEX 0KC All-Layer Copper 0.15-µm 8-layer metal With densities ranging from 30,000 to over 1.5 million gates (113,000 to over. million maximum system gates), APEX devices feature performance enhancements such as copper interconnect technology and multiple phase-locked loops (PLLs). With the APEX True-LVDS circuitry, this family can achieve data transfer rates up to 80 Mbps and is designed to be 6-bit, 66-MHz PCI and PCI-X compliant. The.5-V APEX 0K devices are fabricated on an advanced 0.-µm, six-layer-metal SRAM process. The 1.8-V APEX 0KE devices, which are a functional superset of the APEX 0K devices, utilize a 0.18-µm, eight-layer-metal process. The 1.8-V APEX 0KC devices are fabricated on a 0.15-µm all-layer copper eight-layer-metal process for improved performance (Figure ). Tables 1 to (pages to 5) provide details of the APEX devices features. Figure 1. APEX Device Features MultiCore Architecture LUT Memory Embedded System Block (ESB) ESB Dual-Port RAM ROM CAM Breakthrough MultiCore Architecture The innovative APEX MultiCore architecture contains two types of PLD structures: the look-up table (LUT) logic of FLEX 10K and FLEX 6000 devices and the enhanced embedded memory blocks of FLEX 10KE devices. Both structures are combined into a single integrated architecture, eliminating the need for multiple devices, saving board space, and simplifying the implementation of complex designs. The MultiCore architecture introduces a new level of hierarchy called the MegaLAB structure. Each MegaLAB structure contains 16 logic array blocks (LABs) that consist of 10 logic elements (LEs), each of which are used to implement LUT logic and an advanced embedded structure called embedded system blocks (ESBs). The MegaLAB local interconnect ties the 16 LABs and the ESBs together without using valuable global routing resources. The MegaLAB structures are connected by the FastTrack interconnect continuous routing structure for fast, predictable delays. I/O Features LVDS SSTL-/-3 GTL+ HSTL CTT LVPECL AGP MultiVolt I/O Clock Management Up to PLLs ClockShift Circuitry ClockBoost Circuitry ClockLock Circuitry Altera Corporation 3

4 Table 1. APEX Device Highlights Feature 80-Mbps data rates All-layer-copper interconnect MultiCore architecture Embedded system block (ESB) PCI compliance Support for emerging I/O standards SignalTap II logic analysis Density up to 1.5 million gates (. million system gates) 1.8-V and.5-v operation Up to four phase-locked loops (PLLs) MultiVolt I/O operation FineLine BGA packaging Vertical migration Benefit High-speed I/O interface to provide a true system-level programmable solution Improves performance by 5% to 35% over aluminum-based devices Integrates LUT logic and memory into a single architecture Implements dual-port RAM, first-in first-out (FIFO) buffers, ROM, and content-addressible memory CAM Meets all specifications for 6-bit, 66-MHz PCI compliance and PCI-X support Supports LVDS, LVTTL, LVCMOS, GTL+, CTT, AGP, HSTL, LVPECL, and SSTL-/-3 I/O standards Improves verification of chip functionality Addresses system-level density needs Reduces power consumption Supports ClockLock, ClockBoost, and ClockShift circuitry, 1x to 160x clock multiplication, and 1 to 56 clock division with an extended frequency range Ideal for mixed-voltage systems Area-optimized, better thermal characteristics, high-pin-count BGA offerings, and packaging migration flexibility Addresses changing density without the need to re-spin the board Table. APEX 0KC Device Features (1.8 V) Device Maximum system gates Logic elements (LEs) Maximum RAM bits Phase-locked loops (PLLs) Speed grades 1 EP0K00C 56,000 8,30 106,96-7, -8, -9 EP0K00C 1,05,000 16,60 1,99-7, -8, -9 EP0K600C 1,537,000,30 311,96-7, -8, -9 EP0K1000C 1,77,000 38,00 37,680-7, -8, -9 Notes: 1-7 is the fastest speed grade in the APEX 0KC family. Table 3. APEX 0KE Device Features (1.8 V) Device EP0K30E EP0K60E EP0K100E EP0K160E EP0K00E EP0K300E EP0K00E EP0K600E EP0K1000E EP0K1500E Maximum system gates Logic elements (LEs) Maximum RAM bits Phase-locked loops (PLLs) 113,000 1,00,576 16,000,560 3,768 63,000,160 53,8 0,000 6,00 81,90 56,000 8,30 106,96 78,000 11,50 17,56 1,05,000 16,60 1,99 1,537,000,30 311,96 1,77,000 38,00 37,680,39,000 51,80,368 Speed grades 1-1, -, -3-1, -, -3-1, -, -3-1, -, -3-1, -, -3-1, -, -3-1, -, -3-1, -, -3-1, -, -3-1, -, -3 Maximum user I/O pins Package Maximum User I/O Pins 1-Pin TQFP 1-Pin FineLine BGA 3 08-Pin PQFP Pin PQFP Pin FineLine BGA Pin BGA 8-Pin FineLine BGA Pin BGA 67-Pin FineLine BGA 1,00-Pin FineLine BGA F Notes: 1-1 is the fastest speed grade in the APEX 0K and APEX 0KE families TQFP: thin quad flat pack 3 BGA: ball-grid array PQFP: plastic quad flat pack Altera Corporation

5 Table. APEX 0K Device Features (.5 V) Device Maximum system gates Logic elements (LEs) Maximum RAM bits Phase-locked loops (PLLs) Speed grade Maximum user I/O pins Package 1-Pin TQFP 1-Pin FineLine BGA 08-Pin PQFP 0-Pin PQFP 3-Pin FineLine BGA 356-Pin BGA 8-Pin FineLine BGA 65-Pin BGA 67-Pin FineLine BGA EP0K100 EP0K00 63,000 56,000,160 8,30 53,8 106, , -, -3-1, -, EP0K00 1,05,000 16,60 1,99 1-1, -, Maximum User I/O Pins Table 5. CAM Applications Address translation Packet header identification Cache tagging Pattern recognition IP filtering Switch address mapping MAC address look-up VPI/VCI translation in ATM switches Embedded System Block Configuration Embedded system blocks are the heart of the MultiCore architecture. The,08 programmable bits of each APEX ESB can be configured as dual-port RAM, ROM, or content-addressable memory (CAM). Embedded Dual-Port RAM APEX ESBs support dual-port RAM with independent read/write ports, synchronous or asynchronous RAM operation, and high-speed first-in first-out (FIFO) performance in a wide range of RAM widths and depths (18 16, 56 8, 51, 1,0, and,08 1). APEX ESBs also support 5-MHz cache RAM performance and ROM performance over 30 MHz. Multiple ESBs can be combined to build wider and deeper memories. High-Performance CAM Within APEX 0KE and APEX 0KC devices, ESBs can be configured as CAM, a parallel processing memory that facilitates fast address search functions. CAM operates like reverse RAM: while RAM receives an address input and supplies data output, CAM receives data input and supplies the address that contains the input data. CAM is commonly used in data communication applications (Table 5). Because the APEX 0KE and APEX 0KC CAM functions as a high-speed parallel comparator, it opens up many new applications for FPGA designs. APEX CAM supports single match, multiple match, fast multiple match, and ternary CAM. Each ESB can be configured as a 3-word 3-bit CAM, and ESBs can be cascaded to build larger CAMs. The integrated CAM in APEX 0KE and APEX 0KC devices offers considerable gains in system performance and configuration flexibility relative to discrete CAM solutions. High-Bandwidth, Low-Voltage I/O Standards The demand for higher system performance and lower supply voltages is growing. APEX 0KE and APEX 0KC devices support multiple I/O interfacing standards, including LVTTL, LVCMOS, GTL+, SSTL-3/, HSTL, AGP, CTT, LVPECL, and LVDS with performance up to 80 Mbps. All APEX devices support the Altera MultiVolt I/O interface, which is ideal for mixed-voltage systems. Enhanced Phase-Locked Loops To increase system-clock rates, APEX 0KE and APEX 0KC devices feature up to four PLLs with enhanced ClockLock, ClockBoost, and ClockShift circuitry. The ClockLock circuitry uses a synchronizing PLL with an extended frequency range that reduces clock delay and skew within the device. The ClockBoost circuitry provides a clock multiplier that allows the designer to distribute a low-speed clock and to multiply that clock on the device. It also allows for resourcesharing within the device and enhances device area efficiency. The ClockShift circuitry provides a programmable clock delay and phase-shift capability. High-Bandwidth True-LVDS Support The APEX 0KE and APEX 0KC I/O interface meets 80-Mbps data transfer rate specifications and has demonstrated data transfer rates up to 1 gigabits per seconds (Gbps) under laboratory conditions (Figure 3, page 6). With dedicated built-in True-LVDS circuitry, the APEX 0KE and APEX 0KC LVDS supports programmable bandwidths up to 6 Gbps. APEX devices offer the highest performance, highest bandwidth SOPC solution for high-speed data transmission designs. Altera Corporation 5

6 Figure 3. APEX 0KE LVDS Running at 1 Gbps Data Transfer Rate* Figure. Aluminum vs. Copper Delays Relative Interconnect Delays µm, Aluminum 0.15-µm, Copper Interconnect Material *Data taken under laboratory conditions. Greater Performance with All-Layer- Copper Interconnect APEX 0KC devices offer improved internal and I/O performance to address the high-density, highperformance needs of communication applications. With internal performance improvements of 5% to 35% and I/O transmission speeds up to 80 Mbps, these devices are ideal for applications such as OC-19 and SONET SDH protocol, as well as WAN and gigabit Ethernet applications. APEX 0KC devices build on the state-of-the-art features offered in the industry-leading APEX 0KE devices. Combined with the revolutionary MultiCore architecture, a wide density range, and advanced FineLine BGA package offering up to four PLLs and multiple user-selectable I/Os standards, the APEX 0KC devices provide even greater system-level integration. In the APEX 0KC devices, copper technology replaces aluminum for routing structure performance enhancements. Copper has low resistivity and better electro-migration characteristics, making it one of the best-known electrical conductors. Interconnect delays are 70% lower than aluminum delays, which translates to significant core performance improvements as shown in Figure. Copper is also more scalable than aluminum, resulting in smaller die size, enhanced internal performance, and speed. The four APEX 0KC devices range in density from 00,000 to 1 million system gates (56,000 to 1.8 million maximum system gates) with embedded memory ranging from 106,69 to 37,680 RAM bits. Three new speed grades (-7, -8, -9) represent the faster performance of these devices. Intellectual Property & Quartus II Design Software Simplify Design The Quartus II design software provides the most comprehensive environment available for SOPC design. This is because the Quartus II software contains a suite of programmable logic design and verification tools including an integrated embedded software development environment and integration to third-party EDA software. The Quartus II software allows designers to implement advanced device features such as CAM, PLL, and LVDS, or to integrate intellectual property (IP) megafunctions easily. Table 6 shows the highlights of the Quartus II design software version.1. 6 Altera Corporation

7 Table 6. Quartus II Software Version.1 Highlights Feature LogicLock Design Methodology Benefit Uses block-based design and optimization capabilities to shorten design and verification cycles and enable team-based design. PowerFit Place-and-Route Timing Closure Flow SignalProbe In-System Verification SignalTap II Embedded Logic Analyzer NativeLink Integration SOPC Builder Integration Improves productivity by intelligently optimizing designs based on user s timing specifications and delivering the fastest compile times in the industry. Includes timing closure floorplan editor to display physical timing estimates between nodes in real time, ability to make powerful path based assignments on critical paths to remove performance bottlenecks easily, netlist optimization features, and incremental, block-based placement. Allows incremental routing of internal nodes to unused or reserved pins for analysis with an external scope or logic analyzer. Enables designers to capture internal signal values in-system and running at system speeds without external probes and without changing user design files. Seamless integration of Quartus II software with third-party EDA synthesis and verification software. Integrates SOPC Builder automated system definition and integration tool. Contact Altera Today The APEX device family provides a new level of capability and offers a platform for SOPC applications. The revolutionary MultiCore architecture brings together the power of FPGA logic and embedded memory for system-level integration. Call Altera today to learn more about this multi-million-gate programmable logic family or visit the Altera web site at Altera Corporation 7

8 The Programmable Solutions Company Altera Offices Altera Corporation Altera European Headquarters Altera Japan Ltd. Altera International Ltd. 101 Innovation Drive Holmers Farm Way Shinjuku i-land Tower 3F 10 Tower 6 San Jose, CA 9513 High Wycombe 5-1, Nishi-Shinjuku, 6-Chome The Gateway, Harbour City USA Buckinghamshire Shinjuku-ku, Tokyo Canton Road Telephone: (08) HP1 XF Japan Tsimshatsui Kowloon United Kingdom Telephone: (81) Hong Kong Telephone: () Telephone: (85) Copyright 00 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, mask work rights, and copyrights. GB-APEX0K-5.0

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities Stratix High-Density, High-Performance FPGAs Available in Production Quantities February 2004 High-Density, High-Performance FPGAs Altera s award-winning Stratix FPGA family delivers the most comprehensive

More information

MAX II CPLD Applications Brochure

MAX II CPLD Applications Brochure MAX II CPLD Applications Brochure Whether designing for communications, consumer, computing, or industrial applications, MAX II devices offer the features designers need when developing control path applications

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

Using I/O Standards in the Quartus Software

Using I/O Standards in the Quartus Software White Paper Using I/O Standards in the Quartus Software This document shows how to implement and view the selectable I/O standards for APEX TM 20KE devices in the Quartus TM software and give placement

More information

February 2002, ver. 4.3

February 2002, ver. 4.3 APEX 20K Programmable Logic Device Family February 2002, ver. 4.3 Data Sheet Features... Industry s first programmable logic device (PLD) incorporating system-on-a-programmable-chip (SOPC) integration

More information

Stratix III FPGAs. Bring your ideas to life.

Stratix III FPGAs. Bring your ideas to life. Stratix III FPGAs Bring your ideas to life. It s a jungle out there. Give your ideas life with a device that puts your designs at the top of the food chain. Cheetah-like speed, elephant-sized memory, and

More information

Section II. HardCopy APEX Device Family Data Sheet

Section II. HardCopy APEX Device Family Data Sheet Section II. HardCopy APEX Device Family Data Sheet This section provides designers with the data sheet specifications for HardCopy APEX TM devices. These chapters contain feature definitions of the internal

More information

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs

Packaging Solutions. Advanced Packaging Solutions for High-Density PLDs Packaging Solutions Advanced Packaging Solutions for High-Density PLDs June 1998 package options pin compatibility design flexibility Advanced Packaging Solutions FineLine BGA vertical migration space

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

HardCopy. Introduction. Features... Devices for APEX 20K Conversion. Preliminary Information

HardCopy. Introduction. Features... Devices for APEX 20K Conversion. Preliminary Information HardCopy Devices for APEX 20K Conversion September 2001, ver. 1.0 Data Sheet Introduction HardCopy TM devices enable high-density APEX TM 20K device technology to be used in high-volume applications where

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Axcelerator Family FPGAs

Axcelerator Family FPGAs Product Brief Axcelerator Family FPGAs u e Leading-Edge Performance 350+ MHz System Performance 500+ MHz Internal Performance High-Performance Embedded s 700 Mb/s LVDS Capable I/Os Specifications Up to

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Excalibur Device Overview

Excalibur Device Overview May 2002, ver. 2.0 Data Sheet Features... Combination of a world-class RISC processor system with industryleading programmable logic on a single device Industry-standard ARM922T 32-bit RISC processor core

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Altera FLEX 8000 Block Diagram

Altera FLEX 8000 Block Diagram Altera FLEX 8000 Block Diagram Figure from Altera technical literature FLEX 8000 chip contains 26 162 LABs Each LAB contains 8 Logic Elements (LEs), so a chip contains 208 1296 LEs, totaling 2,500 16,000

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1 System on Programmable Chip SoPC for High Performance System Applications TM 2000 Altera Corporation 1 Agenda! Altera SoPC Roadmap Product Roadmap Packaging Roadmap Tools Roadmap IP Roadmap! Quartus II,

More information

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N April 2003, ver. 15 Altera Devices Figures 1 and 2 explain the ordering codes for Altera devices. Devices that have multiple pin counts for the same package include the pin count in their ordering codes.

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless

Time-to-Market. Success. High Capacity. Integration. High Performance. Seamless P R O G R A M M A B L E L O G I C S O L U T I O N S Time-to-Market Success High Performance High Capacity Seamless Integration Altera Delivers Programmable Logic Solutions Companies that can deliver new

More information

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family First Quarter 2002 Newsletter for Altera Customers Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family Next-generation systems demand a dramatic level of

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Feature EPF10K30E EPF10K50E EPF10K50S

Feature EPF10K30E EPF10K50E EPF10K50S FLEX 10KE Embedded Programmable Logic Family August 1999, ver. 2.02 Data Sheet Features... Embedded programmable logic devices (PLDs), providing System-on-a-Programmable-Chip TM integration in a single

More information

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi

Digital System Design Lecture 7: Altera FPGAs. Amir Masoud Gharehbaghi Digital System Design Lecture 7: Altera FPGAs Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Altera FPGAs FLEX 8000 FLEX 10k APEX 20k Sharif University of Technology 2 FLEX 8000 Block Diagram

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information Stratix FPGA Family December 2002, ver. 3.0 Data Sheet Introduction Preliminary Information The Stratix TM family of FPGAs is based on a 1.5-V, 0.13-µm, all-layer copper SRAM process, with densities up

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Low Power Design Techniques

Low Power Design Techniques Low Power Design Techniques August 2005, ver 1.0 Application Note 401 Introduction This application note provides low-power logic design techniques for Stratix II and Cyclone II devices. These devices

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information Stratix Programmable Logic Device Family February 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix family of programmable logic devices (PLDs) is based on a 1.5-V, 0.13-µm, all-layer

More information

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

Implementing High-Speed Search Applications with APEX CAM

Implementing High-Speed Search Applications with APEX CAM Implementing High-Speed Search Applications with APEX July 999, ver.. Application Note 9 Introduction Most memory devices store and retrieve data by addressing specific memory locations. For example, a

More information

Power Matters. Antifuse Product Information Brochure

Power Matters. Antifuse Product Information Brochure Power atters. Antifuse Product Information Brochure Providing industry-leading FPGAs and SoCs for applications where security is vital, reliability is non-negotiable and power matters. 2 www.microsemi.com/fpga-soc

More information

ProASIC PLUS FPGA Family

ProASIC PLUS FPGA Family ProASIC PLUS FPGA Family Key Features Reprogrammable /Nonvolatile Flash Technology Low Power Secure Single Chip/Live at Power Up 1M Equivalent System Gates Cost Effective ASIC Alternative ASIC Design Flow

More information

4. Selectable I/O Standards in Stratix & Stratix GX Devices

4. Selectable I/O Standards in Stratix & Stratix GX Devices 4. Selectable I/O Standards in Stratix & Stratix GX Devices S52004-3.4 Introduction The proliferation of I/O standards and the need for higher I/O performance have made it critical that devices have flexible

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

Technology Roadmap 2002

Technology Roadmap 2002 2002 Technology Roadmap Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

More information

SameFrame Pin-Out Design for FineLine BGA Packages

SameFrame Pin-Out Design for FineLine BGA Packages SameFrame Pin-Out Design for Packages June 1999, er. 1 Application Note 90 Introduction A key adantage of designing with programmable logic is the flexibility which allows designers to quickly modify or

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Newsletter for Altera Customers. Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs. 70% Reduction in Die Size

Newsletter for Altera Customers. Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs. 70% Reduction in Die Size & News Views Fourth Quarter 2001 Newsletter for Altera Customers Altera Presents HardCopy Devices The Low-Risk, Low-Cost Solution for High-Density PLDs The transition from a high-density programmable logic

More information

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs

Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs White Paper Introduction Signal Integrity Comparisons Between Stratix II and Virtex-4 FPGAs Signal integrity has become a critical issue in the design of high-speed systems. Poor signal integrity can mean

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

Nios Embedded Processor Development Board

Nios Embedded Processor Development Board Nios Embedded Processor Development Board July 2003, ver. 2.2 Data Sheet Introduction Development Board Features Functional Overview This data sheet describes the features and functionality of the Nios

More information

Section I. Cyclone FPGA Family Data Sheet

Section I. Cyclone FPGA Family Data Sheet Section I. Cyclone FPGA Family Data Sheet This section provides designers with the data sheet specifications for Cyclone devices. The chapters contain feature definitions of the internal architecture,

More information

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs

PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs PowerPlay Early Power Estimator User Guide for Cyclone III FPGAs 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: QII v9.0 SP2 Document Version: 2.0 Document Date: June 2009 UG-01013-2.0

More information

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow

Introduction. Design Hierarchy. FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow FPGA Compiler II BLIS & the Quartus II LogicLock Design Flow February 2002, ver. 2.0 Application Note 171 Introduction To maximize the benefits of the LogicLock TM block-based design methodology in the

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. Section I. Stratix II Device Family Data Sheet This section provides the

More information

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O

Usable gates 600 1,250 2,500 5,000 10,000 Macrocells Logic array blocks Maximum user I/O MAX 3000A Programmable Logic Device Family June 2006, ver. 3.5 Data Sheet Features... High performance, low cost CMOS EEPROM based programmable logic devices (PLDs) built on a MAX architecture (see Table

More information

Simple Excalibur System

Simple Excalibur System Excalibur Solutions Simple Excalibur System August 2002, ver. 1.0 Application Note 242 Introduction This application note describes a simple Excalibur system design that consists of software running on

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Design Verification Using the SignalTap II Embedded

Design Verification Using the SignalTap II Embedded Design Verification Using the SignalTap II Embedded Logic Analyzer January 2003, ver. 1.0 Application Note 280 Introduction The SignalTap II embedded logic analyzer, available exclusively in the Altera

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

Cyclone Device Handbook, Volume 1

Cyclone Device Handbook, Volume 1 Cyclone Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 www.altera.com Preliminary Information C5V1-2.4 Copyright 2008 Altera Corporation. All rights reserved. Altera, The Programmable

More information

Power Optimization in FPGA Designs

Power Optimization in FPGA Designs Mouzam Khan Altera Corporation mkhan@altera.com ABSTRACT IC designers today are facing continuous challenges in balancing design performance and power consumption. This task is becoming more critical as

More information

Benefits of Embedded RAM in FLEX 10K Devices

Benefits of Embedded RAM in FLEX 10K Devices Benefits of Embedded RAM in FLEX 1K Devices January 1996, ver. 1 Product Information Bulletin 2 Introduction Driven by the demand to integrate many more digital functions in a single device, custom logic

More information

Cyclone II Device Handbook, Volume 1

Cyclone II Device Handbook, Volume 1 Cyclone II Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com CII5V1-3.1 Copyright 2007 Altera Corporation. All rights reserved. Altera, The Programmable

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices

SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices SPI-4.2 Interoperability with the Intel IXF1110 in Stratix GX Devices May 2003, ver. 1.0 Application Note 227 Introduction The system packet interface level 4 phase 2 (SPI-4.2) specification, defined by

More information

For Quartus II Software. This Quick Start Guide will show you how to set up a Quartus

For Quartus II Software. This Quick Start Guide will show you how to set up a Quartus Quick Start Guide For Quartus II Software This Quick Start Guide will show you how to set up a Quartus II project, enter timing requirements, and compile the design into an Altera device. 1 Three-Step

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Cyclone Device Handbook, Volume 1

Cyclone Device Handbook, Volume 1 Cyclone Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information C5V1-1.4 Copyright 2003 Altera Corporation. All rights reserved. Altera,

More information

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments

8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments 8. Best Practices for Incremental Compilation Partitions and Floorplan Assignments QII51017-9.0.0 Introduction The Quartus II incremental compilation feature allows you to partition a design, compile partitions

More information

5. High-Speed Differential I/O Interfaces in Stratix Devices

5. High-Speed Differential I/O Interfaces in Stratix Devices 5. High-Speed Differential I/O Interfaces in Stratix Devices S52005-3.2 Introduction To achieve high data transfer rates, Stratix devices support True- LVDS TM differential I/O interfaces which have dedicated

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

Altera Product Catalog

Altera Product Catalog Altera Product Catalog The Programmable Solutions Company Innovate, differentiate, and win At Altera, we ve been promoting innovation yours and ours ever since we invented the world s first programmable

More information

Cyclone Device Handbook, Volume 1

Cyclone Device Handbook, Volume 1 Cyclone Device Handbook, Volume 1 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 http://www.altera.com Preliminary Information C5V1-1.8 Copyright 2005 Altera Corporation. All rights reserved. Altera,

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

On-Chip Memory Implementations

On-Chip Memory Implementations On-Chip Memory Implementations Using Cyclone Memory Blocks March 2003, ver. 1.1 Application Note 252 Introduction Cyclone devices feature embedded memory blocks that can be easily configured to support

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

Advanced ALTERA FPGA Design

Advanced ALTERA FPGA Design Advanced ALTERA FPGA Design Course Description This course focuses on advanced FPGA design topics in Quartus software. The first part covers advanced timing closure problems, analysis and solutions. The

More information

Development Tools Selector Guide

Development Tools Selector Guide Development Tools Selector Guide June 2000 Introducing Altera Programmable Logic Development Tools Altera offers the fastest, most powerful, and most flexible programmable logic development software and

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates Introduction Many applications and designs are adopting clock data recovery-based (CDR) transceivers for interconnect data transfer.

More information