Technology Roadmap 2002

Size: px
Start display at page:

Download "Technology Roadmap 2002"

Transcription

1

2 2002 Technology Roadmap

3 Agenda Investing in Our Future Advanced Process Technology Rising Costs of ASIC Development Core Technology Improvements Product Family Roadmaps Development Tools Programmable Systems

4 2002 Investing in Our Future

5 Revenue & R&D Investment Continued Investment in New Products 30% 25% 20% $M % R&D % Reduces with Revenue Growth % 5% 0% $M Revenue $M Revenue Projected R&D %

6 Product Development Process Technology Interconnect Structure Logic, Memory, I/O Hardware Acceleration Architecture Development Customer Feedback Requirements SOPC Value Features, Pricing Power, Packaging SOPC Quartus Development Tools Design Methodology Synthesis, Simulation Verification, Debug IP Integration Hard vs. Soft Functions Cost, Performance, Power, Complexity Stability

7 Accelerated Architecture Development 15 Months October 2001 Project Concept June 2002 Requirements Refined & Architecture Defined Beta Software December 2002 First Silicon April 2002 Customer Requirements Defined September 2002 Design & Layout Complete Over 60% of Time Invested with Customers!

8 Advanced Process Technology 2002

9 TSMC Roadmap Outpacing ITRS Drawn Gate Width (Microns) µm 0.15 µm 0.13 µm TSMC ITRS* Roadmap µm (90 nm) nm Silicon on Insulator & Hi-K Dielectric 45 nm Source: *International Technology Roadmap for Semiconductors 2001 TSMC 2002 Technology Seminar

10 0.13µm Status Process 9 Layers of Metal Copper / FSG High Performance Device Lithography: 248nm / 193nm Altera Ramp Up 9 Months Production APEX II Stratix: 4 Products Already Shipped 1S80 ~ 230 Million Transistors

11 Stratix 9-Layer Copper Interconnect

12 Stratix Transistor Leff 90nm

13 90nm Status Process Up to 10 Layers Of Copper Second Gen. Low-k (K < 3) Vcc: 1.2V (16A Tox) Lithography: 193nm Project Status Started In May 2001 Actively Optimizing Process with TSMC Process Available Early 2003 Production Targeted 2H mm Status Development & Qualification Completed in 2001 Production Ready for as Demand Increases Provide Cost Reduction (Lower Defect Density)

14 Technology Integration 100M 10M 1M 100K 10K 1K Double Density Every 2 Years 4, , Classic EPM900 APEX20400 FLEX10K100 Pentium 486 Pro PII MAX5192 Stratix1S80 APEX20K1500 FLEX81188 P3 P4 Stratix 1S80 230M Transistors

15 Rising Costs of ASIC Development 2002

16 Dramatic Mask Cost Increase µm Migration to Extreme Ultraviolet 4.0 Drawn Gate Width (Microns) µm 0.13 µm TSMC µm (90 nm) SOI nm 45 nm $M Source: International Technology Roadmap for Semiconductors 2001 TSMC 2002 Technology Seminar

17 Increasing Development Cost Total Development Cost (M$) In 5 Years Advanced Technology Development >$20M Design & Verification Layout Test Mask µm 0.15 µm 0.13 µm 90 nm 65 nm 45 nm

18 Increased Market Opportunities ASIC Stratix ASSP Rising Technology & Development Costs Favour SOPC Design

19 Core Technology Improvements 2002

20 Logic Resource Growth Roadmap Next Generation 35,000 30,000 Logic Elements (K) K250A 10K130V 20K600E 20K1500E Stratix 1S80 2A70 Stratix 1S120 Stratix II 25,000 20,000 15,000 10,000 5,000 RAM Bits (K)

21 Core Logic Evolution Performance FLEX 8000 APEX 20K Enhanced Memory Features Fast Arithemetic Functions DSP/COMM Blocks DDRII Additional IO Standards 1 Gbps LVDS / DPA 6.5 Gbps HSSI Mercury Stratix Stratix II Tri-Matrix Memory Enhanced PLLs DSP/MAC Blocks On-Chip Termination 840 Mbps LVDS Hierarchical Clocks

22 LUT Core Performance Roadmap Typical Core Performance (MHz) FLEX 10KA 2X Performance Every 3 Years Process Enhancements Architectural Enhancements FLEX 10KE APEX 20K APEX II Stratix Stratix II Next Generation

23 High-Speed Interface Chip- to- Chip Backplane Line Card Interconnect PL2 I/O Interconnect PCI PL3 HyperTransport RapidIO (Parallel) PL4 1-Gigabit Clock Data Recovery (CDR) Wall 3GIO RapidIO (Serial) PL Gbps 16 Channels < 150 Mbps 1.25 Gbps 2.5 to Gbps 5-10 Gbps Line Side (LAN) Gigabit Ethernet 1.25 Gbps 10-Gigabit Ethernet Gbps 4 Channels 40- Gigabit Ethernet

24 High-Speed I/O Roadmap Architecture Channel Performance Electrical Standards Bus Protocols 1.25 Gbps Gbps 6 Gbps 12 Gbps 1.25-Gbps CDR 1.25-Gbps LVDS 1.25-Gbps PCML 1.25-Gbps LVPECL 1-Gbps HyperTransport 250-MHz HSTL PCI-X SPI-4 Phase 2 Gigabit Ethernet 1-Gbps Fibre Channel 1-Gbps RapidIO 415-Mbps Utopia IV 200-MHz CSIX Stratix GX Gbps LVDS With CDR RapidIO Serial/Parallel 1G, 2G Fibre Channel InfiniBand 10G Ethernet (XAUI) Lineside Backplane SONET Backplane Stratix II GX Gbps CML With CDR RapidIO FChannel InfiniBand SPI5, 3GIO SONNET Next Generation PAM Chip-Chip Backplane Emerging High Speed Standards

25 Integrated Memory High Speed Data Processing & Communications Faster Wire Speeds High Port Integration More Latency Example: Stratix EP1S80 9 Mega RAMs 86G Bits/Sec 314 Pins /RAM 2800 Pins Provide Same External Memory Bandwidth Write 144 Address 11 Control MHZ Operation Mega RAM 144 Bits x 4K Words Read 144 Address 11 Control 2

26 Embedded Memory Roadmap Architecture Stratix Stratix II Next Generation Features Performance Technology True Dual-Port Mode Packing Mode Mixed Width Mode 250 MHz SRAM Embedded Shift Register Mode DDR Mixed Width Mode Three Sizes of Blocks Byte Enable Mixed Clock Mode Preload 300 MHz 550 MHz SRAM Embedded Error Control Circuitry (ECC) DDR Tri-Matrix SRAM Embedded CAMs Embedded Error Control Circuitry (ECC) High Density Storage 750 MHz SRAM Embedded DRAM

27 Excalibur Nios Roadmap Processor Performance Features Version 2.0 Version MHz / 40 DMIPS 150 MHz / 75 DMIPS 250 MHz / 167 DMIPS Simultaneous Multi- Master Bus Intelligent Peripherals User-Defined Instructions SDRAM Controller 10/100 Ethernet I/F PCI MT32 Software IDE On-Chip Debug Software Trace Linux, ATI Nucleus Speed / Size Optimizations Communication Controller HDLC, T1/E1 Framer Time-Slot Assigner Integrated MMU Configurable On-Chip Cache High-Speed Memory Interface C-Level Simulation Hardware & Software Multi-Processor Support Inter-Processor Communication Software Debug Application-Specific Processor Extensions II System Profiler Hardware & Software Optimized Extensions Based on Target Application (Critical Loop) Speed Enhancements Additional Peripherals

28 Packaging Technology Roadmap 0.18 µm 0.15 µm 0.13 µm 90 nm 65/45 nm Wire-Bonded BGAs FlipChip BGAs Multiple Stacked Die MCMs & Embedded Passives LRC 1.27 mm 1.0 mm 0.8 mm 0.5 mm PCB

29 Pricing Roadmap FPGA Sales / Logic Elements (Normalized to 2000) /10 Price in Four Years with Twice the Features Reduced Logic Element Pricing Continued Use of New Processes 300mm Wafers Improved Redundancy Technology Architecture Efficiencies Next Generation Continue at > 25% Lower per Year

30 Product Family Roadmaps 2002

31 Altera s General-Purpose Product Portfolio Relative Cost Relative Density & Features Addressing Features & Cost

32 Altera FPGA Roadmap Performance Performance IP Integration Cost-Effective APEX 0.18µ / 0.15µ / 0.13µ f MAX = MHz Max Density = 70K LE Stratix 0.13µ f MAX = MHz Maximum Density = 100K LE APEX Embedded RAM = 1.2 MBit Maximum Transceiver = 1.25Gbps Stratix II 90nm f MAX = MHz Max Density = 170K LE Memory = 16 Mbit Maximum Transceiver = 6.5 Gbps APEX E Mercury ACEX Stratix Tri-Matrix Memory = 10 Mbit Maximum Transceiver = Gbps APEX II Excalibur Next Gen. Stratix E Stratix GX Cyclone Excalibur II

33 Altera CPLD Roadmap Cost Reduction & Flexibility 5V Core 3.3V Core 2.5V Core 1.8V Core MAX µ/0.3/0.22µ Process ISP tpd = 3.5 ns Core = 5 / 3.3 / 2.5V MAX 3000 IO Tolerance = 5 / 3.3 / 2.5 / 1.8V 0.3µ Process ISP MAX3000A Tpd= 4.5 ns Core = 3.3V IO Tolerance =5 / 3.3 / 2.5V A 7000B Future MAX 0.18µ Process ISP Tpd = 3.5 ns Core = 3.3 / 2.5 / 1.8V IO Tol. = 5 / 3.3 / 2.5 / 1.8V Nex Gen

34 Altera HardCopy Roadmap Cost Reduction & Flexibility Performance Processor Transceiver APEX HardCopy APEXE 1500/1000 APEXE 600/400 Stratix Function & Pin Compatible Stratix 1S Package Options Faster Low Power APEXE APEXII Stratix Stratix II 90nm f MAX = MHz Max Density = 170K LE Memory = 16 Mbit max. Transceiver = 6.5Gbps GX

35 POP QUIZ

36 Pop Quiz Stratix 의생산공정과 Core Voltage 로서맞는것은? um, 2.5V um, 1.8V um, 1.8V um, 1.5V

37 Pop Quiz Stratix 의생산공정과 Core Voltage 로서맞는것은? um, 2.5V um, 1.8V um, 1.8V um, 1.5V

38 2002 Development Tools

39 Tools Roadmap Altera Will Continue to Focus on Areas Where We Add Most Value Continue to Partner with Leading 3 rd Parties Where They Provide Incremental Expertise & Focus SOPC Builder & System Design Automation Will Be Our Major Focus

40 SOPC Design Tools SOPC Builder CPU UART Timer RAM I/F Architecture User Logic IP User DSP IP PLD Fabric

41 SOPC Design Tools SOPC Builder Traditional Synthesis Flow Flow DSP DSP Builder CPU User Logic User DSP DSP IP DSP IP UART Timer PLD Fabric RAM I/F Architecture IP IP Embedded SW SW Toolset Mega Mega Wizard Wizard

42 SOPC 3.0 Builder Build Custom Companion Chip for Your Processor-Based System with SOPC Builder Interface to Popular Microprocessors PowerPC TI DSP PCI Custom Peripheral Content Communications IP Signal Processing Algorithms Memory Interfaces Hardware Acceleration Functions Security IP Image Processing Traffic Management / Routing Algorithms

43 SOPC Builder Roadmap Q Q1 Q Q3 Q4 Quartus II v 2.2 SOPC Builder 2.7 Quartus II v. 3.0 SOPC Builder 3.0 Native Synthesis Support Cyclone Device Support External Device Bus Standards PowerPC (60x Bus) TI C6X PCI IP Bus Standards AMBA PVCI Wishbone DSP Builder Integration Atlantic Bus Support SignalTap II Support Web Update

44 Programmable Systems 2002

45 Programmable System Reconfiguration In Production Today Using Altera FPGAs Requires Complex Hardware/Software Support Group 1 Group 2 Group 3 Group 4 1 Year Months Days - Hours Mins-100mS 1ms-1us System Upgrades Bug Fixes Feature Upgrades Remote System Monitor Reboot & Upgrade Multifunction Platform for Different Users Reuse Hardware to Perform Multi- Functions Reduce Redundant Hardware Costs Reconfigurable Computing Context Switch Based Upon Function Under Real-Time Software Control

46 Re-Configurable Solutions Increasing Use of Remote System Updates FPGA Images Uploaded Over Internet or Private Network Mainly Using Ethernet Both System Upgrades & Remote Monitoring Using Programmability of FPGAs Bug Fixes, System Upgrades, New Features Significant Cost Advantages over Technician Visit % Network Attached Applications Using Remote Updates Programmable Logic Differentiates Production Solution Reconfiguration %

47 Future System Design DSP SRAM Flash DRAM DRAM Back Plane ASSP BP I/F ASSP BP I/F FPGA Queue Traffic ASIC Policy Engine Network Packet Processor ASSP Framer O/E O/E Line Side Interface

48 Future System Design Microprocesor DSPs Memory SOPC Analog ASIC & ASSP for High-Volume Projects Back Plane FPGA BP I/F FPGA BP I/F DSP FPGA Queue Traffic FPGA Policy Engine SRAM Flash DRAM Network Packet Processor FPGA Framer O/E O/E DRAM

49 Conclusions Altera Continues to Innovate & Deliver Compelling SOPC Solutions Focused on Complete Solutions Programmable Device Families Leading Design Automation Tools Broad Range of IP Comprehensive Worldwide Technical Support Performance, Price, Integration Will Dramatically Expand SOPC Market Altera SOPC Solution for Rapid Growth

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

Altera Product Overview. Altera Product Overview

Altera Product Overview. Altera Product Overview Altera Product Overview Tim Colleran Vice President, Product Marketing Altera Product Overview High Density + High Bandwidth I/O Programmable ASSP with CDR High-Speed Product Term Embedded Processor High

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities

Stratix. High-Density, High-Performance FPGAs. Available in Production Quantities Stratix High-Density, High-Performance FPGAs Available in Production Quantities February 2004 High-Density, High-Performance FPGAs Altera s award-winning Stratix FPGA family delivers the most comprehensive

More information

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper.

APEX Devices APEX 20KC. High-Density Embedded Programmable Logic Devices for System-Level Integration. Featuring. All-Layer Copper. APEX Devices High-Density Embedded Programmable Logic Devices for System-Level Integration APEX 0KC Featuring All-Layer Copper Interconnect July 00 APEX programmable logic devices provide the flexibility

More information

Designing Embedded Processors in FPGAs

Designing Embedded Processors in FPGAs Designing Embedded Processors in FPGAs 2002 Agenda Industrial Control Systems Concept Implementation Summary & Conclusions Industrial Control Systems Typically Low Volume Many Variations Required High

More information

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example

Ethernet Switch. WAN Gateway. Figure 1: Switched LAN Example 1 Introduction An Ethernet switch is used to interconnect a number of Ethernet LANs (Local Area Networks), forming a large Ethernet network. Different ports of the switch are connected to different LAN

More information

4. Selectable I/O Standards in Stratix & Stratix GX Devices

4. Selectable I/O Standards in Stratix & Stratix GX Devices 4. Selectable I/O Standards in Stratix & Stratix GX Devices S52004-3.4 Introduction The proliferation of I/O standards and the need for higher I/O performance have made it critical that devices have flexible

More information

CS310 Embedded Computer Systems. Maeng

CS310 Embedded Computer Systems. Maeng 1 INTRODUCTION (PART II) Maeng Three key embedded system technologies 2 Technology A manner of accomplishing a task, especially using technical processes, methods, or knowledge Three key technologies for

More information

Zynq-7000 All Programmable SoC Product Overview

Zynq-7000 All Programmable SoC Product Overview Zynq-7000 All Programmable SoC Product Overview The SW, HW and IO Programmable Platform August 2012 Copyright 2012 2009 Xilinx Introducing the Zynq -7000 All Programmable SoC Breakthrough Processing Platform

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

Excalibur Device Overview

Excalibur Device Overview May 2002, ver. 2.0 Data Sheet Features... Combination of a world-class RISC processor system with industryleading programmable logic on a single device Industry-standard ARM922T 32-bit RISC processor core

More information

Altera Product Catalog

Altera Product Catalog Altera Product Catalog The Programmable Solutions Company Innovate, differentiate, and win At Altera, we ve been promoting innovation yours and ours ever since we invented the world s first programmable

More information

Emerging Protocols & Applications

Emerging Protocols & Applications Emerging Protocols & Applications Anthony Dalleggio Executive Vice President Modelware, Inc. Contents Historical System Bandwidth Trends The PC Bus SONET, UTOPIA, & POS-PHY Targeted Applications SANs:

More information

Welcome. Altera Technology Roadshow 2013

Welcome. Altera Technology Roadshow 2013 Welcome Altera Technology Roadshow 2013 Altera at a Glance Founded in Silicon Valley, California in 1983 Industry s first reprogrammable logic semiconductors $1.78 billion in 2012 sales Over 2,900 employees

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

1. Overview for the Arria V Device Family

1. Overview for the Arria V Device Family 1. Overview for the Arria V Device Family December 2011 AV51001-1.2 AV51001-1.2 Built on the 28-nm low-power process technology, Arria V devices offer the lowest power and lowest system cost for mainstream

More information

Programmable Logic. Any other approaches?

Programmable Logic. Any other approaches? Programmable Logic So far, have only talked about PALs (see 22V10 figure next page). What is the next step in the evolution of PLDs? More gates! How do we get more gates? We could put several PALs on one

More information

ARM-Based Embedded Processor Device Overview

ARM-Based Embedded Processor Device Overview ARM-Based Embedded Processor Device Overview February 2001, ver. 1.2 Data Sheet Features... Industry-standard ARM922T 32-bit RISC processor core operating at up to 200 MHz, equivalent to 210 Dhrystone

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family

Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family First Quarter 2002 Newsletter for Altera Customers Altera Provides New Levels of System Integration with the Introduction of the Stratix Device Family Next-generation systems demand a dramatic level of

More information

Qsys and IP Core Integration

Qsys and IP Core Integration Qsys and IP Core Integration Stephen A. Edwards (after David Lariviere) Columbia University Spring 2016 IP Cores Altera s IP Core Integration Tools Connecting IP Cores IP Cores Cyclone V SoC: A Mix of

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1

System on Programmable Chip SoPC for High Performance System Applications Altera Corporation 1 System on Programmable Chip SoPC for High Performance System Applications TM 2000 Altera Corporation 1 Agenda! Altera SoPC Roadmap Product Roadmap Packaging Roadmap Tools Roadmap IP Roadmap! Quartus II,

More information

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public

SoC FPGAs. Your User-Customizable System on Chip Altera Corporation Public SoC FPGAs Your User-Customizable System on Chip Embedded Developers Needs Low High Increase system performance Reduce system power Reduce board size Reduce system cost 2 Providing the Best of Both Worlds

More information

8. Selectable I/O Standards in Arria GX Devices

8. Selectable I/O Standards in Arria GX Devices 8. Selectable I/O Standards in Arria GX Devices AGX52008-1.2 Introduction This chapter provides guidelines for using industry I/O standards in Arria GX devices, including: I/O features I/O standards External

More information

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd 2 Agenda TSMC IP Ecosystem DDR Interfaces for SoCs Summary 3 TSMC Highlights Founded in 1987 The world's first dedicated semiconductor foundry

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

ALTERA FPGAs Architecture & Design

ALTERA FPGAs Architecture & Design ALTERA FPGAs Architecture & Design Course Description This course provides all theoretical and practical know-how to design programmable devices of ALTERA with QUARTUS-II design software. The course combines

More information

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices

4. Selectable I/O Standards in Stratix II and Stratix II GX Devices 4. Selectable I/O Standards in Stratix II and Stratix II GX Devices SII52004-4.6 Introduction This chapter provides guidelines for using industry I/O standards in Stratix II and Stratix II GX devices,

More information

1. Stratix IV Device Family Overview

1. Stratix IV Device Family Overview 1. Stratix IV Device Family Overview SIV51001-3.0 Altera Stratix IV FPGAs deliver a breakthrough level of system bandwidth and power efficiency for high-end applications, allowing you to innovate without

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

Section I. FPGA Configuration Devices

Section I. FPGA Configuration Devices Section I. FPGA Configuration Devices This section provides information on Altera configuration devices. The following chapters contain information about how to use these devices, feature descriptions,

More information

Designing with ALTERA SoC Hardware

Designing with ALTERA SoC Hardware Designing with ALTERA SoC Hardware Course Description This course provides all theoretical and practical know-how to design ALTERA SoC devices under Quartus II software. The course combines 60% theory

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas

Power Solutions for Leading-Edge FPGAs. Vaughn Betz & Paul Ekas Power Solutions for Leading-Edge FPGAs Vaughn Betz & Paul Ekas Agenda 90 nm Power Overview Stratix II : Power Optimization Without Sacrificing Performance Technical Features & Competitive Results Dynamic

More information

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N

Figure 1. Device Package Ordering Information for Stratix, Stratix GX, Cyclone, APEX 20KC, APEX II, Mercury & Excalibur Devices EP1S 25 F 780 C 5 N April 2003, ver. 15 Altera Devices Figures 1 and 2 explain the ordering codes for Altera devices. Devices that have multiple pin counts for the same package include the pin count in their ordering codes.

More information

Stacked Silicon Interconnect Technology (SSIT)

Stacked Silicon Interconnect Technology (SSIT) Stacked Silicon Interconnect Technology (SSIT) Suresh Ramalingam Xilinx Inc. MEPTEC, January 12, 2011 Agenda Background and Motivation Stacked Silicon Interconnect Technology Summary Background and Motivation

More information

System-on-a-Programmable-Chip (SOPC) Development Board

System-on-a-Programmable-Chip (SOPC) Development Board System-on-a-Programmable-Chip (SOPC) Development Board Solution Brief 47 March 2000, ver. 1 Target Applications: Embedded microprocessor-based solutions Family: APEX TM 20K Ordering Code: SOPC-BOARD/A4E

More information

NIOS CPU Based Embedded Computer System on Programmable Chip

NIOS CPU Based Embedded Computer System on Programmable Chip NIOS CPU Based Embedded Computer System on Programmable Chip 1 Lab Objectives EE8205: Embedded Computer Systems NIOS-II SoPC: PART-I This lab has been constructed to introduce the development of dedicated

More information

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices

Basic FPGA Architectures. Actel FPGAs. PLD Technologies: Antifuse. 3 Digital Systems Implementation Programmable Logic Devices 3 Digital Systems Implementation Programmable Logic Devices Basic FPGA Architectures Why Programmable Logic Devices (PLDs)? Low cost, low risk way of implementing digital circuits as application specific

More information

System-on Solution from Altera and Xilinx

System-on Solution from Altera and Xilinx System-on on-a-programmable-chip Solution from Altera and Xilinx Xun Yang VLSI CAD Lab, Computer Science Department, UCLA FPGAs with Embedded Microprocessors Combination of embedded processors and programmable

More information

Intel Cyclone 10 GX Device Overview

Intel Cyclone 10 GX Device Overview Intel Cyclone 10 GX Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Intel Cyclone 10 GX Devices... 3 Summary of Intel Cyclone 10 GX

More information

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005

10 Gigabit XGXS/XAUI PCS Core. 1 Introduction. Product Brief Version April 2005 1 Introduction Initially, network managers use 10 Gigabit Ethernet to provide high-speed, local backbone interconnection between large-capacity switches. 10 Gigabit Ethernet enables Internet Service Providers

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Configurable s for SOC Design Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc. Why Listen to This Presentation? Understand how SOC design techniques, now nearly 20 years old, are

More information

FABRICATION TECHNOLOGIES

FABRICATION TECHNOLOGIES FABRICATION TECHNOLOGIES DSP Processor Design Approaches Full custom Standard cell** higher performance lower energy (power) lower per-part cost Gate array* FPGA* Programmable DSP Programmable general

More information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information

Stratix. Introduction. Features... Programmable Logic Device Family. Preliminary Information Stratix Programmable Logic Device Family February 2002, ver. 1.0 Data Sheet Introduction Preliminary Information The Stratix family of programmable logic devices (PLDs) is based on a 1.5-V, 0.13-µm, all-layer

More information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information

Stratix. Introduction. Features... 10,570 to 114,140 LEs; see Table 1. FPGA Family. Preliminary Information Stratix FPGA Family December 2002, ver. 3.0 Data Sheet Introduction Preliminary Information The Stratix TM family of FPGAs is based on a 1.5-V, 0.13-µm, all-layer copper SRAM process, with densities up

More information

BASICS. Select The Optimum ASIC Approach

BASICS. Select The Optimum ASIC Approach BASICS of ASIC TRADEOFFS Dave Bursky, Digital ICs/DSP Editor Select The Optimum ASIC Approach Design hen pushing the performance of a custom network processor or widening the bandwidth of a next-generation

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

2001 Altera Corporation (1)

2001 Altera Corporation (1) 2001 Altera Corporation (1) SOPC Design Using ARM-Based Excalibur Devices Outline! ARM-based Devices Overview! Embedded Stripe! Excalibur MegaWizard! Verification Tools Bus Functional Model Full Stripe

More information

Flash Controller Solutions in Programmable Technology

Flash Controller Solutions in Programmable Technology Flash Controller Solutions in Programmable Technology David McIntyre Senior Business Unit Manager Computer and Storage Business Unit Altera Corp. dmcintyr@altera.com Flash Memory Summit 2012 Santa Clara,

More information

S2C K7 Prodigy Logic Module Series

S2C K7 Prodigy Logic Module Series S2C K7 Prodigy Logic Module Series Low-Cost Fifth Generation Rapid FPGA-based Prototyping Hardware The S2C K7 Prodigy Logic Module is equipped with one Xilinx Kintex-7 XC7K410T or XC7K325T FPGA device

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Stratix III FPGAs. Bring your ideas to life.

Stratix III FPGAs. Bring your ideas to life. Stratix III FPGAs Bring your ideas to life. It s a jungle out there. Give your ideas life with a device that puts your designs at the top of the food chain. Cheetah-like speed, elephant-sized memory, and

More information

SoC Platforms and CPU Cores

SoC Platforms and CPU Cores SoC Platforms and CPU Cores COE838: Systems on Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering Ryerson University

More information

High Performance Memory in FPGAs

High Performance Memory in FPGAs High Performance Memory in FPGAs Industry Trends and Customer Challenges Packet Processing & Transport > 400G OTN Software Defined Networks Video Over IP Network Function Virtualization Wireless LTE Advanced

More information

Cyclone III low-cost FPGAs

Cyclone III low-cost FPGAs Cyclone III low-cost FPGAs Unlimited possibilities Your design ideas have the potential to prosper. But, in the end, they are only as good as your ability to execute. Cyclone III FPGAs deliver the value

More information

Cyclone V Device Overview

Cyclone V Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents... 3 Key Advantages of Cyclone V Devices... 3 Summary of Cyclone V Features...4 Cyclone V Device Variants and Packages...

More information

Fujitsu SOC Fujitsu Microelectronics America, Inc.

Fujitsu SOC Fujitsu Microelectronics America, Inc. Fujitsu SOC 1 Overview Fujitsu SOC The Fujitsu Advantage Fujitsu Solution Platform IPWare Library Example of SOC Engagement Model Methodology and Tools 2 SDRAM Raptor AHB IP Controller Flas h DM A Controller

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Advancing high performance heterogeneous integration through die stacking

Advancing high performance heterogeneous integration through die stacking Advancing high performance heterogeneous integration through die stacking Suresh Ramalingam Senior Director, Advanced Packaging European 3D TSV Summit Jan 22 23, 2013 The First Wave of 3D ICs Perfecting

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

Cyclone V Device Overview

Cyclone V Device Overview 2014.10.06 CV-51001 Subscribe The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

1. Cyclone IV FPGA Device Family Overview

1. Cyclone IV FPGA Device Family Overview May 2013 CYIV-51001-1.8 1. Cyclone IV FPGA Device Family Overview CYIV-51001-1.8 Altera s new Cyclone IV FPGA device amily extends the Cyclone FPGA series leadership in providing the market s lowest-cost,

More information

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates

White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates White Paper Compromises of Using a 10-Gbps Transceiver at Other Data Rates Introduction Many applications and designs are adopting clock data recovery-based (CDR) transceivers for interconnect data transfer.

More information

Arria 10 Transceiver PHY User Guide

Arria 10 Transceiver PHY User Guide Arria 10 Transceiver PHY User Guide Subscribe UG-A10XCVR 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Arria 10 Transceiver PHY User Guide Contents Arria 10 Transceiver PHY Overview...1-1

More information

Design Once with Design Compiler FPGA

Design Once with Design Compiler FPGA Design Once with Design Compiler FPGA The Best Solution for ASIC Prototyping Synopsys Inc. Agenda Prototyping Challenges Design Compiler FPGA Overview Flexibility in Design Using DC FPGA and Altera Devices

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

1. Overview for Cyclone V Device Family

1. Overview for Cyclone V Device Family 1. Overview for Cyclone V Device Family November 2011 CV-51001-1.1 CV-51001-1.1 Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements;

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow

Graduate Institute of Electronics Engineering, NTU Advanced VLSI SOPC design flow Advanced VLSI SOPC design flow Advisor: Speaker: ACCESS IC LAB What s SOC? IP classification IP reusable & benefit Outline SOPC solution on FPGA SOPC design flow pp. 2 What s SOC? Definition of SOC Advantage

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs

Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs Implementing the Top Five Control-Path Applications with Low-Cost, Low-Power CPLDs WP-01146-1.2 White Paper Since their introduction in the mid-1980s and across all end markets, CPLDs have been design

More information

Hardware Design with VHDL PLDs IV ECE 443

Hardware Design with VHDL PLDs IV ECE 443 Embedded Processor Cores (Hard and Soft) Electronic design can be realized in hardware (logic gates/registers) or software (instructions executed on a microprocessor). The trade-off is determined by how

More information

MAX II CPLD Applications Brochure

MAX II CPLD Applications Brochure MAX II CPLD Applications Brochure Whether designing for communications, consumer, computing, or industrial applications, MAX II devices offer the features designers need when developing control path applications

More information

Quartus II Software Device Support Release Notes

Quartus II Software Device Support Release Notes Quartus II Software Device Support Release Notes May 2009 RN-01045-1.0 This document provides late-breaking information about device support in this version of the Altera Quartus II software. For information

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Achieving Lowest System Cost with Midrange 28-nm FPGAs

Achieving Lowest System Cost with Midrange 28-nm FPGAs Achieving Lowest System Cost with Midrange 28-nm FPGAs WP-01175-1.0 White Paper As electronic products increase in complexity and capability, the cost to develop and support them also increases from a

More information

Cyclone V Device Overview

Cyclone V Device Overview CV-500 Subscribe Feedback The Cyclone V devices are designed to simultaneously accommodate the shrinking power consumption, cost, and time-to-market requirements; and the increasing bandwidth requirements

More information

Nios Soft Core Embedded Processor

Nios Soft Core Embedded Processor Nios Soft Core Embedded Processor June 2000, ver. 1 Data Sheet Features... Preliminary Information Part of Altera s Excalibur TM embedded processor solutions, the Nios TM soft core embedded processor is

More information

Estimating Nios Resource Usage & Performance

Estimating Nios Resource Usage & Performance Estimating Nios Resource Usage & Performance in Altera Devices September 2001, ver. 1.0 Application Note 178 Introduction The Excalibur Development Kit, featuring the Nios embedded processor, includes

More information

5. High-Speed Differential I/O Interfaces in Stratix Devices

5. High-Speed Differential I/O Interfaces in Stratix Devices 5. High-Speed Differential I/O Interfaces in Stratix Devices S52005-3.2 Introduction To achieve high data transfer rates, Stratix devices support True- LVDS TM differential I/O interfaces which have dedicated

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2014.09.22 M10-OVERVIEW Subscribe MAX 10 devices are the industry s first single chip, non-volatile programmable logic devices (PLDs) to integrate the optimal set of system components. The following lists

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Interconnect Challenges in a Many Core Compute Environment Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp Agenda Microprocessor general trends Implications Tradeoffs Summary

More information

6. I/O Features for HardCopy IV Devices

6. I/O Features for HardCopy IV Devices 6. I/O Features for HardCopy IV Devices March 2012 HIV51006-2.3 HIV51006-2.3 This chapter describes the I/O standards, features, termination schemes, and performance supported in HardCopy IV devices. All

More information

Nios II Embedded Design Suite Release Notes

Nios II Embedded Design Suite Release Notes Nios II Embedded Design Suite Release Notes Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Product Revision History... 3 1.2 Nios II EDS v15.0 Updates...4 1.3

More information

Intel MAX 10 FPGA Device Overview

Intel MAX 10 FPGA Device Overview Intel MAX 10 FPGA Device Overview Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Key Advantages of Intel MAX 10 Devices... 3 Summary of Intel MAX 10 Device Features...

More information

Enhanced Configuration Devices

Enhanced Configuration Devices April 2002, ver. 2.0 Enhanced Configuration Devices (EPC4, EPC8 & EPC16) Data Sheet Features Enhanced configuration devices include EPC4, EPC8, and EPC16 devices 4-, 8-, and 16-Mbit Flash memory devices

More information

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol IP By William Chen and Osman Javed, Cadence Design Systems Applications such as the Internet of Things, cloud computing, and high-definition

More information

Beyond Moore. Beyond Programmable Logic.

Beyond Moore. Beyond Programmable Logic. Beyond Moore Beyond Programmable Logic Steve Trimberger Xilinx Research FPL 30 August 2012 Beyond Moore Beyond Programmable Logic Agenda What is happening in semiconductor technology? Moore s Law More

More information

Cyclone III LS FPGAs Altera Corporation Public

Cyclone III LS FPGAs Altera Corporation Public Cyclone III LS FPGAs Introducing Cyclone III LS Devices Low power 200K LE for under 0.25 Watt TSMC 60-nm low-power (LP) process Quartus II software power-aware design flow Broadcast Industrial Military

More information

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA

L2: FPGA HARDWARE : ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA L2: FPGA HARDWARE 18-545: ADVANCED DIGITAL DESIGN PROJECT FALL 2015 BRANDON LUCIA 18-545: FALL 2014 2 Admin stuff Project Proposals happen on Monday Be prepared to give an in-class presentation Lab 1 is

More information