University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM

Size: px
Start display at page:

Download "University of Florida EEL 4744 Spring 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 April Apr-14 9:03 AM"

Transcription

1 Page 1/15 Exam 2 Instructions: Turn off cell phones beepers and other noise making devices. BEAT UCONN! Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front of the page "MORE ON BACK" and use the back. The back of the page will not be graded without an indication on the front. You may use any of your XMEGA documents with limited added material; highlighting and tagging is permissible. You may not use any notes (mine or yours) examples homework labs books calculators computer electronic devices etc. Put your name at the top of each test page and be sure your exam consists of 15 distinct pages. The space provided does not necessarily represent the amount of writing necessary. You must pledge and sign this page in order for a grade to be assigned. May the Schwartz In programs the use of comments results in more partial credit. Read each question carefully and follow the instructions. be with you! The point values for problems may be changed at prof s discretion. Part of your grade on tests quizzes labs etc. is based not only on solving the problem you are presented with but the manner in which you solve it. For example there is a difference between two programs that meet the given specifications but one is an elegant extensible 20-line solution while the other is an obfuscated 100-line program that also meets the specifications but would be difficult to extend later. Just as your future employer would value the latter program less than the first so will I in grading your assignments. This exam counts for % of your total grade. Unless otherwise stated assume the following: Go Good * The oscillator frequency is precisely 2 MHz. * The code should run on an ATxmega128A1U as configure on the UF utinkerer Development Board without any additional peripherals. Gators! luck! * PLEDGE: On my honor as a University of Florida student I certify that I have neither given nor received any aid on this examination nor I have seen anyone else do so. PRINT YOUR NAME SIGN YOUR NAME DATE (1 April 14) Regrade comments below. Give page # & problem # and reason for the petition. Pages Available Points TOTAL 100

2 Page 2/15 Exam 2 [11%] 1. An analog to digital converter (ADC) system uses 6 bits unsigned and has an analog input range from 2.7V to 9.7V (VRefL and VRefH respectively). Show all work in each of the problems below. (4%) a) Derive a formula to determine the analog voltage (v in decimal) from the 6-bit unsigned digital ADC value (ADC in decimal) v = f( ADC ). 4 min v = (1%) b) What is the voltage (in decimal) if the ADC returns a binary value of ADC = ? 1 min (2%) c) If v = 4.7V is a valid input what is the captured ADC value (in decimal and binary)? If the value is not valid write not valid? v = (1%) e) What is the resolution for this ADC system? 1 min ADC = = (3%) e) With your utinkerer board's internal clock set at 2 MHz how many clock cycles are available to perform a single analog to digital conversion if an external interrupt has a frequency of 20 khz? # clock cycles =

3 Page 3/15 Exam 2 [2%] 2. The XMEGA s ADC is initialized to read in a value at PORTA using the ADC s channel 2. In assembly (NOT mixed assembly) set up the corresponding interrupt vector so that the interrupt service routine ADC_ISR is executed when the ADC conversion is complete. Labels Instructions Comments [9%] 3. The XMEGA s asynchronous serial communications will be used in this problem. (4%) a) Draw the timing diagram for a serial communication frame if it consists of one (1) start bit seven (7) data bits (with data 0x37) no parity and two (2) stop bits. Label the bits. 4 min (1%) b) If even parity is set for the above serial communication what is the value in the parity bit? Why? 1 min Parity = (1%) c) If odd parity is set for the above serial communication what is the value in the parity bit? Why? 1 min Parity = (3%) d) If NO parity is used for the above serial communication how long does it take to transmit 1000 ASCII characters (of 7 bit data) when the baud rate is 50 khz? Time =

4 Page 4/15 Exam 2 [18%] 4. You are working on a team project that requires an audio circuit. Other students on your team have wired up an analog signal from an amplified and filtered microphone to the ADC on 4 min your board (more specifically AD 3). Your job is to read an input connected to an interrupt pin (port D pin 4) to turn ON and OFF the ADC conversions. The storage of the ADC values is NOT part of your required design but you should assume that when the ADC is on the data is coming in continuously and will be stored as each new value is received. 7 min You will write three subroutines in MIXED C / ASSEMBLY. You will write an INIT_ISR subroutine (in C) that will initialize the interrupt service routine for the external interrupt. You will also write an INIT_ADC subroutine (in C) that will initialize the ADC. You are also required to write an interrupt service routine (in ASSEMBLY) for the interrupt that will turn OFF the ADC conversion if it is on and will turn it ON if the ADC conversion is off. The only requirement for the ISR is that it should be a low level interrupt and be triggered on both edges. (A more sophisticated solution would be to use the two edges separately but that is not necessary or desired in this problem.) The ADC should be configured with an internal reference of Vcc / 1.6 and should be unsigned with a resolution of 12 bits. Assume all files that need to be included are and that a main function is already written. Do not forget to push registers if appropriate (especially in the ISR). Be sure to write function prototypes if needed. Be sure to comment your code if you want to maximize your partial credit. (8%) a) Write the initializations and required protoypes in C for the ISR and the ADC. C Code Comments

5 Page 5/15 Exam 2 3 a) (continued) C Code Comments (10%) b) Write the ASSEMBLY subroutine for the ISR. Don t forget to name your subroutine appropriately (remember that this is MIXED C). 10 min Labels Instructions Comments

6 Page 6/15 Exam 2 3 b) (continued) Labels Instructions Comments

7 Page 7/15 Exam 2 [33%] 4. In this problem you will write parts of a program to interface a wireless radio using your utinkerer board to your LCD screen. This wireless radio communicates with your 4 min microprocessor much like the USB-to-serial converter IC used on your utinkerer board. The transmitter on the other side of the radio link sends messages composed of 16 ASCII characters but it does so very slowly (compared to the speed of the LCD) so you should only update the LCD screen after the entire message has been received. All messages that your radio receives will be exactly 16 characters long (which is coincidentally the same number of characters that fit on one line of your LCD). Your LCD should always display the latest complete message that you have received from the radio. The wireless radio is connected to USARTE0 on your XMEGA and sends serial data to the XMEGA with the following characteristics: 7 bit data 1 stop bit odd parity and a baud rate of 20 khz. (You must use BSCALE = -3.) You do not need to send any data to the wireless radio (it is a receive-only radio link). (2%) a) How will you configure the 20 khz baud rate? Calculate specific value(s) that you will need later. You must use BSCALE = -3. The LCD's command register is at 0x The necessary decoding equation has already been created for you in the CPLD but you must configure CS3 using the smallest chip-select size and select a base address that is appropriate. The LCD's RS pin is connected to address pin A0 as it was in your Lab 6. (4%) b) What is the base address and address size that you will use for the above LCD. Show 5 min your work. What changes if any must be made to the utinkerer in order to properly generate the address decoding requested above? You may assume that the following LCD functions have been written for you: LCD_INIT(): performs all necessary LCD initialization commands LCD_CLEAR(): clears the screen and returns home LCD_POLL(): returns only when the LCD is ready for new data i.e. not busy Your microprocessor is also being used to perform other important work (not pertaining to USARTE0 or the LCD screen) so you should use an ISR to receive message from the radio. You should generally not update the LCD screen in your receiver ISR but it is acceptable to do so if you find it easier. You must configure your receive interrupt as low-level priority.

8 Page 8/15 Exam 2 4. (continued) For this program you must write code completely in C. The ebi_driver.h file from our website is available for your use. For all configuration values write out the values in binary or hex. Do NOT use the group configuration (_gc) or bitmask (_bm) constants. Below you will write the following C code: main() USART_INIT() EBI_INIT LCD_OUT_CHAR and the ISR for USARTE0. (0%) 4. c) Draw a flowchart or write pseudo-code to describe the behavior of the main() function as 7 min well as the receiver ISR.

9 Page 9/15 Exam 2 (5%) 4. d) Write the USART_INIT() function. Make sure to initialize all the necessary functionality to enable the receive interrupt with low-level priority. 4 min C Code Comments (7%) 4. e) Write the EBI_INIT() function to enable CS3 for the LCD at 0x as described. 4 min C Code Comments

10 Page 10/15 Exam 2 (3%) 4. f) Write the LCD_OUT_CHAR() function. C Code Comments (6%) 4. g) Write the receive ISR for USARTE0. 6 min C Code Comments

11 Page 11/15 Exam 2 (6%) 4. h) Write the main() function. Be sure to call any necessary initialization functions (i.e. those that were provided and those that you have written above). 6 min C Code Comments

12 Page 12/15 Exam 2 [18%] 5. Answer each of these short questions. (2%) a) When calculating baud rates for your processor it is important that the values of BSEL and BSCALE be calculated and chosen correctly to reduce timing error. Briefly explain why this error exists and how it affects the communication between the two devices. (2%) b) Your XMEGA chip has many dedicated USART hardware peripherals for interacting with external serial devices. If you need even more USARTs than are available how (if possible) could you still add transmit to a serial device? (2%) c) Your XMEGA chip has two dedicated USART hardware peripherals on each port for which USART is available. Is it possible to use both at once and also receive external interrupts on other pins in the port? Why or why not?

13 Page 13/15 Exam 2 (2%) 5. d) In the LCD setup you implemented you tied A0 to the Data/Command line of the LCD. Could you use a non-address pin for this function? If so describe how and what you would do. (2%) e) In the initialization of the EBI for correct functionality there are certain ports (e.g. Port A or Port B) that require setup. Which signals associated with the EBI REQUIRE that you make direction assignments? Why? (2%) f) In addition to these ports that have specific requirements for their direction (see above question) there are default values that must be written to certain pins. What are these values and on which pins are required? Why are these necessary?

14 Page 14/15 Exam 2 (2%) 5. f) If two interrupts have the same priority level and both occur at the same time which one will be executed/interrupted first? (2%) f) What is the most likely cause of the error when an RTC timer is setup to interrupt every 37 seconds but after the first interrupt occurs a second interrupt happens almost immediately? (2%) g) In the XMEGA s ADC when using signed mode the negative reference voltage is just the negative of the high reference voltage. This is not the case in some processors and some external ADC chips where the two references are independent. If the negative reference is -3V and the positive reference is 7V what is the voltage for an 8-bit ADC output of 0x ? Show your work.

15 Page 15/15 Exam 2 [9%] 6. In this problem you will design an expansion to a utinkerer board by adding a memorymapped 8-bit LCD (as described in problem 4) an external interrupt (port D pin 4 as 10 min described in problem 3) from an active-low SPST switch a potentiometer output (between 0 and 1V) to an ADC (AD 3 as described in problem 3) a serial receiver (on USARTE0) from a wireless radio (as described in problem 4) and a CPLD (as described in problem 4). Show only the necessary pins and connections.

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM Page 1/11 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

May the Schwartz be with you!

May the Schwartz be with you! Department of Electrical & Computer Engineering Tuesday 27 June 17 29-Sep-17 3:54 PM Page 1/13 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front

More information

University of Florida EEL 4744 Spring 2013 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 28 March Jun-13 6:18 PM

University of Florida EEL 4744 Spring 2013 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 28 March Jun-13 6:18 PM University of Florida EEL 4744 Spring 2013 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 2 March 2013 12-Jun-13 6:1 PM Page 1/14 Exam 2 Instructions: Turn off cell phones beepers

More information

University of Florida EEL 4744 Summer 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 July Oct-14 6:41 PM

University of Florida EEL 4744 Summer 2014 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 1 July Oct-14 6:41 PM Page 1/14 Exam 1 Instructions: First Name Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note

More information

University of Florida EEL 4744 Spring 2011 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 31 March Apr-11 1:29 PM

University of Florida EEL 4744 Spring 2011 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 31 March Apr-11 1:29 PM University of Florida EE 4744 Spring 2011 Dr. Eric M. Schwartz Page 1/15 Exam 2 Go Gators! Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test

More information

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck!

University of Florida EEL 3744 Spring 2018 Dr. Eric M. Schwartz. Good luck! Page 1/13 Exam 2 Relax! Go Gators! Good luck! First Name Instructions: Turn off all cell phones and other noise making devices and put away all electronics. Show all work on the front of the test papers.

More information

University of Florida EEL 4744 Spring 2012 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Jun-12 4:55 PM

University of Florida EEL 4744 Spring 2012 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Jun-12 4:55 PM Page 1/13 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

Go Gators! Relax! May the Schwartz be with you!

Go Gators! Relax! May the Schwartz be with you! Page 1/12 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

Good Evening! Welcome!

Good Evening! Welcome! University of Florida EEL 3701 Fall 2011 Dr Eric M Schwartz Page 1/11 Exam 2 Instructions: Turn off all cell phones, beepers and other noise making devices Show all work on the front of the test papers

More information

University of Florida EEL 4744 Fall 1998 Dr. Eric M. Schwartz

University of Florida EEL 4744 Fall 1998 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 15 October 199 Professor in ECE 31-Dec-9 12:22 PM Page 1/ Instructions: Show all work on the front of the test papers. If you need more room, make a clearly

More information

Lab 5: EBI and ADC: Digital Voltmeter

Lab 5: EBI and ADC: Digital Voltmeter Page 1/5 OBJECTIVES Learn how to use C (as an alternative to Assembly) in your programs. Learn how to use an analog-to-digital conversion (ADC, also known as A/D) system on a microcontroller. Use the ADC

More information

Lab 5: LCD and A/D: Digital Voltmeter

Lab 5: LCD and A/D: Digital Voltmeter Page 1/5 OBJECTIVES Learn how to use C (as an alternative to Assembly) in your programs. Learn how to control and interface an LCD panel to a microprocessor. Learn how to use analog-to-digital conversion

More information

Lab 5: LCD and A/D: Digital Voltmeter

Lab 5: LCD and A/D: Digital Voltmeter Page 1/5 OBJECTIVES Learn how to use C (as an alternative to Assembly) in your programs. Learn how to control and interface an LCD panel to a microprocessor. Learn how to use analog-to-digital conversion

More information

Serial Communications

Serial Communications April 2014 7 Serial Communications Objectives - To be familiar with the USART (RS-232) protocol. - To be able to transfer data from PIC-PC, PC-PIC and PIC-PIC. - To test serial communications with virtual

More information

ECE251: Thursday November 8

ECE251: Thursday November 8 ECE251: Thursday November 8 Universal Asynchronous Receiver & Transmitter Text Chapter 22, Sections 22.1.1-22.1.4-read carefully TM4C Data Sheet Section 14-no need to read this A key topic but not a lab

More information

Microcontroller basics

Microcontroller basics FYS3240 PC-based instrumentation and microcontrollers Microcontroller basics Spring 2017 Lecture #4 Bekkeng, 30.01.2017 Lab: AVR Studio Microcontrollers can be programmed using Assembly or C language In

More information

YOU WILL NOT BE ALLOWED INTO YOUR LAB SECTION WITHOUT THE REQUIRED PRE-LAB.

YOU WILL NOT BE ALLOWED INTO YOUR LAB SECTION WITHOUT THE REQUIRED PRE-LAB. Page 1/5 Revision 3 OBJECTIVES Explore and understand microprocessor interrupts. In part A of this lab, you will use XMEGA external interrupt system. Learn how to utilize asynchronous serial communication.

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to?

2. (2 pts) If an external clock is used, which pin of the 8051 should it be connected to? ECE3710 Exam 2. Name _ Spring 2013. 5 pages. 102 points, but scored out of 100. You may use any non-living resource to complete this exam. Any hint of cheating will result in a 0. Part 1 Short Answer 1.

More information

University of Texas at El Paso Electrical and Computer Engineering Department. EE 3176 Laboratory for Microprocessors I.

University of Texas at El Paso Electrical and Computer Engineering Department. EE 3176 Laboratory for Microprocessors I. University of Texas at El Paso Electrical and Computer Engineering Department EE 3176 Laboratory for Microprocessors I Fall 2016 LAB 04 Timer Interrupts Goals: Learn about Timer Interrupts. Learn how to

More information

7 8 9 C. PRELAB REQUIREMENTS You must adhere to the Lab Rules and Policies document for every lab.

7 8 9 C. PRELAB REQUIREMENTS You must adhere to the Lab Rules and Policies document for every lab. Page 1/ Revision 1 OBJECTIVES To understand how a keypad functions as a raster scan input device and to learn how to interface a keypad to a microprocessor. Further explore and understand the implementation

More information

Ali Karimpour Associate Professor Ferdowsi University of Mashhad

Ali Karimpour Associate Professor Ferdowsi University of Mashhad AUTOMATIC CONTROL SYSTEMS Ali Karimpour Associate Professor Ferdowsi University of Mashhad Main reference: Christopher T. Kilian, (2001), Modern Control Technology: Components and Systems Publisher: Delmar

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Microcontroller It is essentially a small computer on a chip Like any computer, it has memory,

More information

EECS 270 Midterm Exam

EECS 270 Midterm Exam EECS 270 Midterm Exam Fall 2009 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: NOTES: Problem # Points 1 /11 2 /4

More information

PIC Microcontroller Introduction

PIC Microcontroller Introduction PIC Microcontroller Introduction The real name of this microcontroller is PICmicro (Peripheral Interface Controller), but it is better known as PIC. Its first ancestor was designed in 1975 by General Instruments.

More information

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software!

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software! Summer Training 2016 Advance Embedded Systems Fast track of AVR and detailed working on STM32 ARM Processor with RTOS- Real Time Operating Systems Covering 1. Hands on Topics and Sessions Covered in Summer

More information

The Atmel ATmega328P Microcontroller

The Atmel ATmega328P Microcontroller Ming Hsieh Department of Electrical Engineering EE 459Lx - Embedded Systems Design Laboratory 1 Introduction The Atmel ATmega328P Microcontroller by Allan G. Weber This document is a short introduction

More information

EECS 373 Practice Midterm & Homework #2 Fall 2011

EECS 373 Practice Midterm & Homework #2 Fall 2011 Exam #: EECS 373 Practice Midterm & Homework #2 Fall 2011 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

EE251: Tuesday December 4

EE251: Tuesday December 4 EE251: Tuesday December 4 Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework #9 due Thursday at beginning of class Friday is

More information

UNIVERSITY OF CONNECTICUT. ECE 3411 Microprocessor Application Lab: Fall Quiz II

UNIVERSITY OF CONNECTICUT. ECE 3411 Microprocessor Application Lab: Fall Quiz II Department of Electrical and Computing Engineering UNIVERSITY OF CONNECTICUT ECE 3411 Microprocessor Application Lab: Fall 2015 Quiz II There are 5 questions in this quiz. There are 9 pages in this quiz

More information

SYLLABUS UNIT - I 8086/8088 ARCHITECTURE AND INSTRUCTION SET

SYLLABUS UNIT - I 8086/8088 ARCHITECTURE AND INSTRUCTION SET 1 SYLLABUS UNIT - I 8086/8088 ARCHITECTURE AND INSTRUCTION SET Intel 8086/8088 Architecture Segmented Memory, Minimum and Maximum Modes of Operation, Timing Diagram, Addressing Modes, Instruction Set,

More information

The Atmel ATmega168A Microcontroller

The Atmel ATmega168A Microcontroller Ming Hsieh Department of Electrical Engineering EE 459Lx - Embedded Systems Design Laboratory The Atmel ATmega168A Microcontroller by Allan G. Weber 1 Introduction The Atmel ATmega168A is one member of

More information

Lab Overview. Lab Details. ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005

Lab Overview. Lab Details. ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005 ECEN 4613/5613 Embedded System Design Week #7 Spring 2005 Lab #4 2/23/2005 Lab Overview In this lab assignment, you will do the following: Add a serial EEPROM and an LCD to the hardware developed in Labs

More information

Signature: 1. (10 points) Basic Microcontroller Concepts

Signature: 1. (10 points) Basic Microcontroller Concepts EE 109 Practice Final Exam Last name: First name: Signature: The practice final is one hour, ten minutes long, closed book, closed notes, calculators allowed. To receive full credit on a question show

More information

EECS 373 Midterm 2 Fall 2018

EECS 373 Midterm 2 Fall 2018 EECS 373 Midterm 2 Fall 2018 Name: unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Nor did I discuss this exam with anyone after

More information

A First Look at Microprocessors

A First Look at Microprocessors A First Look at Microprocessors using the The General Prototype Computer (GPC) model Part 4 Ports CPU Ecosystem All CPUs need RAM, ROM, a clock source and reset circuit, and power. Power Supply 1 Vio Vcore

More information

VALLIAMMAI ENGINEERING COLLEGE S.R.M. NAGAR, KATTANKULATHUR-603203. DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING VII-EEE EE6502- MICROPROCESSORS AND MICROCONTROLLERS QUESTION BANK UNIT I 1. What

More information

Bachelor of Engineering in Computer and Electronic Engineering

Bachelor of Engineering in Computer and Electronic Engineering Bachelor of Engineering in Computer and Electronic Engineering Computer Engineering 1 Year 2 Semester 3 Autumn 08 Niall O Keeffe Instructions to Candidates: - 2 hours duration Answer 4 out of 6 questions.

More information

By the end of Class. Outline. Homework 5. C8051F020 Block Diagram (pg 18) Pseudo-code for Lab 1-2 due as part of prelab

By the end of Class. Outline. Homework 5. C8051F020 Block Diagram (pg 18) Pseudo-code for Lab 1-2 due as part of prelab By the end of Class Pseudo-code for Lab 1-2 due as part of prelab Homework #5 on website due before next class Outline Introduce Lab 1-2 Counting Timers on C8051 Interrupts Laboratory Worksheet #05 Copy

More information

PART B UNIT II PART A

PART B UNIT II PART A SRM INSTITUTE OF SCIENCE AND TECHNOLOGY (Deemed University) DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING QUESTION BANK SUB : Microprocessor/CS201 YEAR/SEM : II/III UNIT I PART - A 1. Differentiate accumulator

More information

NCSU - ECE 306- Exam 2 March 27, 2003

NCSU - ECE 306- Exam 2 March 27, 2003 NCSU - ECE 306- Exam 2 March 27, 2003 Name: User ID Question 1-15 16-22 23-Algo 23-code Total Score /45 /60 /15 /30 /150 You are permitted 75 minutes to take this test, no more. This is an open book/open

More information

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM).

To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Lab # 6 Serial communications & EEPROM Objectives To be familiar with the USART (RS-232) protocol. To be familiar with one type of internal storage system in PIC (EEPROM). Serial Communications Serial

More information

EECS 473 Midterm Exam

EECS 473 Midterm Exam EECS 473 Midterm Exam Fall 2016 Name: KEY unique name: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. NOTES: 1. Closed book and Closed notes

More information

SANKALCHAND PATEL COLLEGE OF ENGINEERING, VISNAGAR. ELECTRONICS & COMMUNICATION DEPARTMENT Question Bank- 1

SANKALCHAND PATEL COLLEGE OF ENGINEERING, VISNAGAR. ELECTRONICS & COMMUNICATION DEPARTMENT Question Bank- 1 SANKALCHAND PATEL COLLEGE OF ENGINEERING, VISNAGAR ELECTRONICS & COMMUNICATION DEPARTMENT Question Bank- 1 Subject: Microcontroller and Interfacing (151001) Class: B.E.Sem V (EC-I & II) Q-1 Explain RISC

More information

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3.

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3. RTC Interface 89C51 Vcc P1.0 10k 10k 5 SDA DS 1307 8 VCC P1.1 6 SCL X1 1 + 3 BAT X2 2 32.768KHz - 3.6V 4 GND INTB\SQW 7 M. Krishna Kumar MAM/M7/LU17/V1/2004 1 RTC Interface contd. DS 1307 is a real time

More information

EE251: Thursday November 30

EE251: Thursday November 30 EE251: Thursday November 30 Course Evaluation Forms-fill out Memory Subsystem continued Timing requirements Adding memory beyond 4 Gbyte Time Allowing: Begin Review for Final Exam Homework due next Tuesday,

More information

School of Computer Science Faculty of Engineering and Computer Science Student ID Number. Lab Cover Page. Lab Date and Time:

School of Computer Science Faculty of Engineering and Computer Science Student ID Number. Lab Cover Page. Lab Date and Time: Student Information First Name School of Computer Science Faculty of Engineering and Computer Science Last Name Student ID Number Lab Cover Page Please complete all fields: Course Name: Structure and Application

More information

C:\Users\Jacob Christ\Documents\MtSAC\ELEC74 Mt SAC - chipkit\homework Sheets.docx

C:\Users\Jacob Christ\Documents\MtSAC\ELEC74 Mt SAC - chipkit\homework Sheets.docx ELEC 74 Worksheet 1 Logic Gate Review 1. Draw the truth table and schematic symbol for: a. An AND gate b. An OR gate c. An XOR gate d. A NOT gate ELEC74 Worksheet 2 (Number Systems) 1. Convert the following

More information

Laboratory 10. Programming a PIC Microcontroller - Part II

Laboratory 10. Programming a PIC Microcontroller - Part II Laboratory 10 Programming a PIC Microcontroller - Part II Required Components: 1 PIC16F88 18P-DIP microcontroller 1 0.1 F capacitor 3 SPST microswitches or NO buttons 4 1k resistors 1 MAN 6910 or LTD-482EC

More information

ECE 511 Project Group 11: MP3 Boombox 12/03/2013. Carlos R Araujo Divya Chinthalapuri Leegia S Jacob Brian D Jarvis Shawn Wilkinson

ECE 511 Project Group 11: MP3 Boombox 12/03/2013. Carlos R Araujo Divya Chinthalapuri Leegia S Jacob Brian D Jarvis Shawn Wilkinson ECE 511 Project Group 11: MP3 Boombox 12/03/2013 Carlos R Araujo Divya Chinthalapuri Leegia S Jacob Brian D Jarvis Shawn Wilkinson Motivation A toy in the entertainment genre: With the use of a single

More information

Cork Institute of Technology. Autumn 2006 Microcomputer Applications (Time: 2 Hours)

Cork Institute of Technology. Autumn 2006 Microcomputer Applications (Time: 2 Hours) Cork Institute of Technology Bachelor of Engineering in Applied Electronic Design - Stage 3 Bachelor of Engineering in Electronic Automation and Robotics - Stage 3 Bachelor of Engineering in Communication

More information

BHARATHIDASAN ENGINEERING COLLEGE. III Year / V Semester / EEE MICROPROCESSORS AND MICROCONTROLLERS (R-2013)

BHARATHIDASAN ENGINEERING COLLEGE. III Year / V Semester / EEE MICROPROCESSORS AND MICROCONTROLLERS (R-2013) BHARATHIDASAN ENGINEERING COLLEGE III Year / V Semester / EEE MICROPROCESSORS AND MICROCONTROLLERS (R-2013) FREQUENTLY ASKED QUESTIONS IN UNIVERSITY EXAMINATION PART A UNIT 1-8085 PROCESSOR 1. Draw the

More information

Department of Electronics and Instrumentation Engineering Question Bank

Department of Electronics and Instrumentation Engineering Question Bank www.examquestionpaper.in Department of Electronics and Instrumentation Engineering Question Bank SUBJECT CODE / NAME: ET7102 / MICROCONTROLLER BASED SYSTEM DESIGN BRANCH : M.E. (C&I) YEAR / SEM : I / I

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 COMPUTER SCIENCE AND ENGINEERING TUTORIAL QUESTION BANK Name : MICROPROCESSORS AND INTERFACING Code : AEC021 Class : B.

More information

DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING YEAR : III SEM : VI

DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING YEAR : III SEM : VI DHANALAKSHMI COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING YEAR : III SEM : VI EE2354- MICROPROCESSORS AND MICROCONTROLLER UNIT I 8085 and 8086 PROCESSOR PART A 1. Define

More information

Mechatronics and Measurement. Lecturer:Dung-An Wang Lecture 6

Mechatronics and Measurement. Lecturer:Dung-An Wang Lecture 6 Mechatronics and Measurement Lecturer:Dung-An Wang Lecture 6 Lecture outline Reading:Ch7 of text Today s lecture: Microcontroller 2 7.1 MICROPROCESSORS Hardware solution: consists of a selection of specific

More information

A 3-SPEED STEPPER MOTOR

A 3-SPEED STEPPER MOTOR ECE 36 Projects; Stepper Motor 1 of 5 A 3-SPEED STEPPER MOTOR 1. Design a microprocessing system to implement a 3-speed stepper motor. Your design is constrained to use the parts shown in Fig. 1 and described

More information

Robotics Training Module ABLab Solutions

Robotics Training Module ABLab Solutions Robotics Training Module ABLab Solutions www.ablab.in Table of Contents Course Outline... 4 Introduction to Robotics... 4 Overview of Basic Electronic... 4 Overview of Digital Electronic... 4 Power Supply...

More information

ECE 2030B 1:00pm Computer Engineering Spring problems, 5 pages Exam Two 10 March 2010

ECE 2030B 1:00pm Computer Engineering Spring problems, 5 pages Exam Two 10 March 2010 Instructions: This is a closed book, closed note exam. Calculators are not permitted. If you have a question, raise your hand and I will come to you. Please work the exam in pencil and do not separate

More information

CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ. Electrical and Electronic Engineering

CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ. Electrical and Electronic Engineering CORK INSTITUTE OF TECHNOLOGY INSTITIÚID TEICNEOLAÍOCHTA CHORCAÍ Module Title: Microcomputer Applications Module Code: MIAP E3001 School: Electrical and Electronic Engineering Programme Title: Bachelor

More information

Microprocessors and Interfacng. Question bank

Microprocessors and Interfacng. Question bank Microprocessors & Interfacing 8086 ARCHITECTURE: UNIT-I Functional Diagram, Register Organization, Addressing modes, Instructions, Functional schematic, Minimum and Maximum mode operations of 8086, 8086

More information

PROGRAMMING AND CUSTOMIZING

PROGRAMMING AND CUSTOMIZING PROGRAMMING AND CUSTOMIZING THE PICAXE MICROCONTROLLER SECOND EDITION DAVID LINCOLN Mc Grauu Hill New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore

More information

8. Power Management and Sleep Modes

8. Power Management and Sleep Modes 8. Power Management and Sleep Modes 8.1 Features Power management for adjusting power consumption and functions Five sleep modes Idle Power down Power save Standby Extended standby Power reduction register

More information

CPE 323 Introduction to Embedded Computer Systems: MSP430 System Architecture An Overview

CPE 323 Introduction to Embedded Computer Systems: MSP430 System Architecture An Overview CPE 323 Introduction to Embedded Computer Systems: MSP430 System Architecture An Overview Aleksandar Milenkovic Electrical and Computer Engineering The University of Alabama in Huntsville milenka@ece.uah.edu

More information

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices,

Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, Understanding the basic building blocks of a microcontroller device in general. Knows the terminologies like embedded and external memory devices, CISC and RISC processors etc. Knows the architecture and

More information

Lecture 1: Introduction to Microprocessors

Lecture 1: Introduction to Microprocessors ECE342 Digital II Lecture 1: Introduction to Microprocessors Dr. Ying (Gina) Tang Electrical and Computer Engineering Rowan University 1 What is a microprocessor Informally, a microprocessor (µp) is the

More information

Exercise 4-1. DSP Peripherals EXERCISE OBJECTIVES

Exercise 4-1. DSP Peripherals EXERCISE OBJECTIVES Exercise 4-1 DSP Peripherals EXERCISE OBJECTIVES Upon completion of this exercise, you will be familiar with the specialized peripherals used by DSPs. DISCUSSION The peripherals found on the TMS320C50

More information

MICROPROCESSOR BASED SYSTEM DESIGN

MICROPROCESSOR BASED SYSTEM DESIGN MICROPROCESSOR BASED SYSTEM DESIGN Lecture 5 Xmega 128 B1: Architecture MUHAMMAD AMIR YOUSAF VON NEUMAN ARCHITECTURE CPU Memory Execution unit ALU Registers Both data and instructions at the same system

More information

Parallel-to-Serial and Serial-to-Parallel Converters

Parallel-to-Serial and Serial-to-Parallel Converters Session 1532 Parallel-to-Serial and Serial-to-Parallel Converters Max Rabiee, Ph.D., P.E. University of Cincinnati Abstract: Microprocessors (MPUs) on a computer motherboard communicate in a parallel format

More information

Magic 8 Ball. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name

Magic 8 Ball. Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name MPS Magic 8 Ball Lab Exercise Magic 8 Ball Student's name & ID (1): Partner's name & ID (2): Your Section number & TA's name Notes: You must work on this assignment with your partner. Hand in a printer

More information

ECE 353 Lab 4. General MIDI Explorer. Professor Daniel Holcomb Fall 2015

ECE 353 Lab 4. General MIDI Explorer. Professor Daniel Holcomb Fall 2015 ECE 353 Lab 4 General MIDI Explorer Professor Daniel Holcomb Fall 2015 Where are we in Course Lab 0 Cache Simulator in C C programming, data structures Cache architecture and analysis Lab 1 Heat Flow Modeling

More information

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction.

AVR XMEGA Product Line Introduction AVR XMEGA TM. Product Introduction. AVR XMEGA TM Product Introduction 32-bit AVR UC3 AVR Flash Microcontrollers The highest performance AVR in the world 8/16-bit AVR XMEGA Peripheral Performance 8-bit megaavr The world s most successful

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

3. (a) Explain the steps involved in the Interfacing of an I/O device (b) Explain various methods of interfacing of I/O devices.

3. (a) Explain the steps involved in the Interfacing of an I/O device (b) Explain various methods of interfacing of I/O devices. Code No: R05320202 Set No. 1 1. (a) Discuss the minimum mode memory control signals of 8086? (b) Explain the write cycle operation of the microprocessor with a neat timing diagram in maximum mode. [8+8]

More information

I/O232-A User s Guide

I/O232-A User s Guide rmv electronics I/O232-A User s Guide DISCLAIMER: RMV ELECTRONICS INC. does not assume any liability arising from the application and/or use of the product/s described herein, nor does it convey any license.

More information

Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX

Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN 46268 (317) 471-1577 (317) 471-1580 FAX http://www.prllc.com GENERAL The Mega128-Development board is designed for

More information

PART - B (Answer all five units, 5 X 10 = 50 Marks)

PART - B (Answer all five units, 5 X 10 = 50 Marks) Code: 13A04507 R13 B.Tech III Year I Semester (R13) Supplementary Examinations June 2017 MICROPROCESSS & INTERFACING (Common to CSE & IT) PART - A (a) Mention the function of the instruction ADD M of 8085

More information

www.vidyarthiplus.com www.vidyarthiplus.com www.vidyarthiplus.com www.vidyarthiplus.com www.vidyarthiplus.com Time : Three hours Reg. No. : B.E./B.Tech. DEGREE EXAMINATION, APRIL/MAY 2011 Sixth

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK V SEMESTER EE6502- MICROPROCESSORS AND MICROCONTROLLERS Regulation 2013

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

Microprocessor (COM 9323)

Microprocessor (COM 9323) Microprocessor (COM 9323) Lecture 1: Introduction Ahmed Elnakib, PhD Assistant Professor, Mansoura University, Egypt Feb 17 th, 2016 1 Course Syllabus* o Introduction to computer architecture o Basics

More information

C:\Users\jacob\Documents\MtSAC\ELEC74 Mt SAC - chipkit\homework Sheets.docx

C:\Users\jacob\Documents\MtSAC\ELEC74 Mt SAC - chipkit\homework Sheets.docx ELEC 74 Worksheet 1 Logic Gate Review 1. Draw the truth table and schematic symbol for: a. An AND gate b. An OR gate c. An XOR gate d. A NOT gate ELEC74 Worksheet 2 (Number Systems) 1. Convert the following

More information

utinkerer v1.1 User s Manual

utinkerer v1.1 User s Manual utinkerer v1.1 User s Manual Last Updated January 6, 2013 2 WARNING READ BEFORE USE!!! 1) DO NOT OVERLOAD OR SHORT POWER RAILS. out of the Box is not responsible for any damage to personal property through

More information

revolution GETTING STARTED Appendix H - Frequently Asked Questions (FAQ). Section 1 92

revolution GETTING STARTED Appendix H - Frequently Asked Questions (FAQ).  Section 1 92 Section 1 92 Appendix H - Frequently Asked Questions (FAQ). Where can I purchase PICAXE microcontrollers? All microcontrollers can be purchased from within the PICAXE section of the online store at www.tech-supplies.co.uk

More information

Amarjeet Singh. January 30, 2012

Amarjeet Singh. January 30, 2012 Amarjeet Singh January 30, 2012 Website updated - https://sites.google.com/a/iiitd.ac.in/emsys2012/ Lecture slides, audio from last class Assignment-2 How many of you have already finished it? Final deadline

More information

Embedded Systems and Software

Embedded Systems and Software Embedded Systems and Software Lab 6 Considerations Lab 6 Considerations, Slide 1 Big Picture Connect to internal ADC + 0-5 V - Sensor To COM port on PC LCD RTC Optional: LCD display Lab 6 Considerations,

More information

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT COE 202: Digital Logic Design Term 162 (Spring 2017) Instructor: Dr. Abdulaziz Barnawi Class time: U.T.R.: 11:00-11:50AM Class

More information

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc.

PSIM Tutorial. How to Use SPI in F2833x Target. February Powersim Inc. PSIM Tutorial How to Use SPI in F2833x Target February 2013-1 - Powersim Inc. With the SimCoder Module and the F2833x Hardware Target, PSIM can generate ready-to-run codes for DSP boards that use TI F2833x

More information

MP3 Boombox ECE 511 PROJECT GROUP 11 12/03/2013 CARLOS R ARAUJO BRIAN D JARVIS SHAWN WILKINSON DIVYA CHINTHALAPURI LEEGIA S JACOB

MP3 Boombox ECE 511 PROJECT GROUP 11 12/03/2013 CARLOS R ARAUJO BRIAN D JARVIS SHAWN WILKINSON DIVYA CHINTHALAPURI LEEGIA S JACOB MP3 Boombox ECE 511 PROJECT GROUP 11 12/03/2013 CARLOS R ARAUJO BRIAN D JARVIS SHAWN WILKINSON DIVYA CHINTHALAPURI LEEGIA S JACOB Abstract For this project, the motivation was to create a product which

More information

EECS 373 Midterm Winter 2017

EECS 373 Midterm Winter 2017 EECS 373 Midterm Winter 2017 Name: unique name: Sign the following honor code pledge. I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem Points 1 /12

More information

EasyPIC5 Development System

EasyPIC5 Development System EasyPIC5 Development System Part No.: MPMICRO-PIC-Devel- EasyPIC5 Overview EasyPIC5 is a development system that supports over 120 8-, 14-, 18-, 20-, 28- and 40-pin PIC MCUs. EasyPIC5 allows PIC microcontrollers

More information

1a)[2] Connect the devices on the left column to items on the right column. Keypad 4x4

1a)[2] Connect the devices on the left column to items on the right column. Keypad 4x4 2 nd Midterm ECE372 Fall 2005 This exam is: Closed book, lecture notes, calculator and internet Materials needed are in the appendix Exam duration is 75 minutes. Question Number) [Weight] I suggest that

More information

EE 390 Lab Manual, EE Department, KFUPM. Experiment #7. Introduction to Flight86 Microprocessor Trainer and Application Board

EE 390 Lab Manual, EE Department, KFUPM. Experiment #7. Introduction to Flight86 Microprocessor Trainer and Application Board Experiment #7 Introduction to Flight86 Microprocessor Trainer and Application Board 7.0 Objectives: The objective of this experiment is to introduce the Flight86 Microprocessor training kit and application

More information

Emulating an asynchronous serial interface (ASC0) via software routines

Emulating an asynchronous serial interface (ASC0) via software routines Microcontrollers ApNote AP165001 or æ additional file AP165001.EXE available Emulating an asynchronous serial interface (ASC0) via software routines Abstract: The solution presented in this paper and in

More information

Hands on Experience with AVR32

Hands on Experience with AVR32 Hands on Experience with AVR32 By: Mazhar Hussain mazhar.hussain @miun.se Muhammad Amir Yousaf 1 Tutorial Overview Introduction to AT32UC3A0512 (µ-controller) µ-controller Sensors Display Peripherals AVR

More information

FINAL EXAM. last name first name

FINAL EXAM. last name first name 55:036 Embedded Systems and Systems Software Spring, 2011 FINAL EXAM last name first name Notes: This is an open-book, open-notes exam Be sure to write legibly and clearly mark your answers Show your work

More information

EECS 373 Practice Midterm / Homework #3 Fall 2014

EECS 373 Practice Midterm / Homework #3 Fall 2014 Exam #: EECS 373 Practice Midterm / Homework #3 Fall 2014 Name: Uniquename: Sign the honor code: I have neither given nor received aid on this exam nor observed anyone else doing so. Scores: Problem #

More information

Microcontroller and Embedded Systems:

Microcontroller and Embedded Systems: Microcontroller and Embedded Systems: Branches: 1. Electronics & Telecommunication Engineering 2. Electrical & Electronics Engineering Semester: 6 th Semester / 7 th Semester 1. Explain the differences

More information

Interfacing a Hyper Terminal to the Flight 86 Kit

Interfacing a Hyper Terminal to the Flight 86 Kit Experiment 6 Interfacing a Hyper Terminal to the Flight 86 Kit Objective The aim of this lab experiment is to interface a Hyper Terminal to 8086 processor by programming the 8251 USART. Equipment Flight

More information

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc. All other product or service names are the property of their S08 Highlighted Features Why Do I Need a Slave LIN Interface Controller (SLIC)? Design Challenges Slave synchronization Slave synchronizing to LIN messaging requires a cost versus resource trade-off. Your

More information