CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

Size: px
Start display at page:

Download "CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER"

Transcription

1 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The main target of this design is the dynamic data paths, dual rail and also the single rail. They consist of new pipelines which are latch free, and are well designed for fine grain. And each pipeline stages specifically in a single gate deep, the reduction of handshaking delay principles by employing new pipeline control structure in order to achieve high throughput in asynchronous pipeline. It is vital to differentiate between latency and throughput instead of simply giving them the name as performance. The prime point is that the asynchronous circuits consume only less power when compare to synchronous circuits. The reason is certain, since the global clock network will have to drive at a higher rate and the result is not desired though many pipelines stages are executed in instances. In asynchronous circuits the average case performance is exploited by low latency.

2 85 The intricacy of the presence of a global clocks skew is clearly known by the increased throughput present in circuits with very high density pipelining. 3.2 SYNCHRONOUS LOGIC The combinatorial logic is the basic concept of computing which takes up a setup of inputs and based on the number of inputs appropriate outputs are generated. For primitive systems which can perform a single function and which do not maintain state, this is more than enough and quite sufficient. In order to partition the circuits temporarily in a complex system, some form of timing is required. The importance of partitioning is to allow a single piece of combinatorial logic to be utilized for varied operations (i.e. various operands passed through an ALU). The synchronous circuits depend on external timing in order to find out the completion of each pipeline stage and then it registers to stop data in order to prevent overwriting the data in the next stage from the first stage. A synchronizing, periodic signal, Clock, facilitates the transition from present state to next state. Every change in logical level of its storage is ideal in a synchronous circuit Synchronous Logic Constructions In synchronous circuits shown in Figure 3.1, every flip-flop is connected to the clock net. A change takes place as the clock ticks, the resultant of one data changes into the input of the next data and these constructions is known as the pipeline. The pipelines are major part that divides the system temporarily and also spatially. A large operation that has

3 86 been already recycled temporarily by passing different data through it and also it can be divided spatially by allowing various operations to pass across varied parts of the unit at the same time. This is the general category of increasing throughput at the penalty of latency because of the added delay of the latches. Figure 3.2 depicts the data movement from one stage to the next consequence stage by transforming all data to the next stage at the rise of the clock. In order to ensure that enough time is provided for the result to be corrected a global clock is needed. Simultaneously it is accepted by the forthcoming stage and each stage holds only one data entry. Figure 3.1 Synchronous pipeline Synchronous Pipeline Properties In Figure 3.2,a serious of operations passing through a pipeline is clearly shown. The stage which has completed its logical reasoning can be represented by the shaded areas and ultimately the result is valid but it waits for the clock before it passes to the next stage. For example, if DO passes across stage 1 the result is ready ¼ th of a clock cycle before the forthcoming clock edge starts. At this time the data will not be able to move to the next stage. When DO crosses through stage 3 it needs the entire clock cycle to carry out its operations. This operation crosses the critical path when the

4 87 clock frequency was increased. At this juncture the circuit operation will fail since the result the logical operation will not be ready in time in order to be accepted into the next latch. Scarcely this operation takes place but in order to guarantee correct operation they force the clock to have a longer period. In case of worst operating conditions of the circuits this critical path delay may be noticed for the worst operating conditions of the circuits. The performance is degraded even further by this requirement. 3.3 ASYNCHRONOUS CIRCUITS Asynchronous circuits operates using event driven logic, instead of clock which provides global synchronization masking logic hazards and signaling the end of each computation step. Specifically asynchronous circuits are often decomposing into processing blocks that transfer data (tokens through asynchronous channel). This decomposition is very advantageous since it reuses asynchronous blocks and also makes the design of complex system simplified. Figure 3.2 Synchronous pipeline occupancy diagram

5 Requirements of Asynchronous Circuits The most simplified and predominant method of completion detection is to use the logic to create a completion signal instead of using matched delays. Figure 3.3 is an example of asynchronous pipeline. In this system a set of asynchronous pipeline control elements are present and it replaces the global clock. When a new data enters a stage, on the wire a request signal is automatically generated. This signal goes across the matched delay and the completion detection signal is combined with in. And after the evolution of the logic function the request signal is emitted on wire Req2, the data which has to be used in the next stage is now readily accepted. Figure 3.3 Asynchronous Pipeline The completion detection problem is solved in this approach. But still there is a problem of one piece of data overwritten in the other in the forthcoming pipeline stage. In order to provide a solution to this an acknowledge signal is being sent back to the requesting control and this acts as a signal to show that the data is accepted and that stage can be utilized by the next data. Consequently the data which is accepted is used in the next stage and as it happens simultaneously a request is emitted and again the cycle beings and continue in the next stage. And this process clearly state, this

6 89 is called handshaking. Handshaking is predominantly used in asynchronous system in order to guarantee a correct transfer of information. And another advantage is that the communication protocol is crystal clear and hence no assumptions are made on the delay of either sender or receiver Properties of Asynchronous pipeline circuits Figure 3.4 depicts an asynchronous pipeline executing the same computation asynchronous pipeline. In Figure 3.2 there is varied difference between two traces, since the optimizations discussed above are implemented. Asynchronous pipeline is faster. The reason for the improvement is at the completion of every stage, the data is determined individually, in spite of evaluating the worst case delay of the slowest stage (using a global clock). In asynchronous pipeline there are two different types of stalls and in both, a clock is used in the synchronous version. In stage2 the first is demonstrated and then DO is move to stage3. Here the new data is accepted by the stage2 hardware but D1 is yet to complete its function in stage1. This period is called starvation, since the data is not available and the hardware has to wait for the data. In this Figure 3.4 is demonstrated with dashed line across the stalling area. In the second type of stall, D2 starts its movement stage1 to stage2 but the stage is not prepared to accept new data since D1 is processed. Consequently blocking occurs since the data is readily available but it will have to wait for the availability of the hardware. In the same Figure it is shown that, the stalling with dashed line along the data shading is still present. When very few data elements are present in the pipeline, then starvation occurs and hence the throughput is low. At the same time when many data elements are present in the pipeline, then blockings occurs and causes high

7 90 latency. Hence the balanced pipeline will have low latency and high throughput. Figure 3.4 Asynchronous pipeline occupancy diagram Asynchronous circuits In Figure 3.5 shows the asynchronous circuit followed with single rail channel. Binary signals are used in asynchronous circuits but it does not have common and discrete time. Handshaking is used in these circuits between their components to carry out necessary synchronization, sequencing of operation and communication. Asynchronous circuit has got some inherent properties like robustness towards process parameters, low power consumption, high operating speed and less emission of electromagnetic noise. Figure 3.5 Asynchronous circuits

8 Asynchronous Design style: Handshake Protocols The bundled data is denoted as encoded information of its Boolean levels and acknowledge wires along with separate request are bundled with the data signals Asynchronous Channels In order to communicate data between a sender and receiver, asynchronous communication channel which is bundle of wire and protocol is required. The transmission of data is done by the encoding scheme in which one wire bit is used and the validity of the data is identified by sending an associated request line and this is called single rail encoding. As shown in Figure 3.6a. When a sender sends a protocol through a channel, it is called push channel, on the other hand the receiver which is asking for a new data is called pull channel. The directions of the request and acknowledge signals are reversed in both cases and the acknowledge signal from the sender to receiver indicate the validity of data. Hence the associated channel is known as bundled data channel as shown in Figure 3.6b. On the other hand when two wires are used for sending a data for each bit of information the coding is known as a dual rail channel as shown in Figure 3.6c, extensions to 1-of-N encoding also persists. The commonly used schemes are single rail and dual rail encoding and there exist tradeoffs between each. The data validity to be indicated by the data itself are allowed by the dual rail and 1-of-N encodings are often used in QDI designs. Contrary to this in single rail data there should be an associated request line driven by a matched delay line in order to be longer than the computation. This approach

9 92 needs careful timing analysis but one of the advantages is the reuse of synchronous single rail logic. Figure 3.6 (a) Abstract Channel Figure 3.6 (b) Bundled data channel Figure 3.6 (c) Dual rail channel Figure 3.6 Handshake protocols 3.4 FUNDAMENTAL DIFFERENCE BETWEEN ASYNCHRONOUS AND SYNCHRONOUS DESIGN The fundamental difference between asynchronous design and synchronous design is illustrated in Figure 3.7. The asynchronous design uses handshakes to synchronize local communications while the synchronous system has one global clock that must propagate to the entire chip. Switching of global clocking to local occurs, due to increased modularity, the needed

10 93 synchronization can potentially offer ease of design, more concurrency results in faster speed and due to switching activity lower energy consumption occurs only when and where needed. Figure 3.7 Comparison between synchronous and asynchronous design Paradigms 3.5 ASYNCHRONOUS PIPELINE CIRCUITS A request acknowledge handshake protocol is synchronized locally by parts of the circuit in a pipelined asynchronous system. The flow of data into and out of registers, which are usually implemented as latches and controlled by these handshakes. If the handshaking protocol prevents data from being lost or overwritten while still allowing for the flow of data between stages, the pipeline operates correctly. The basic structure of a selftimed pipeline is shown in Figure 3.8. A controller, a storage element ( data latch ) and processing logic is present in each pipeline stage. When the new data is ready, it is indicated by one stage generating a request for initialization of a handshake with its successor stage. Two actions are performed when the successor stage is empty and it accepts the data, they are:

11 94 (i) The predecessor is acknowledged for the data received and (ii) A similar handshake is initiated with its own successor stage. A variety of asynchronous pipeline controller implementations exist as many variations on this basic protocol have been developed Data Encoding in Asynchronous Pipeline Circuits Based on the data encoding employed, the pipeline styles can be categorized. To improve robustness and to reduce energy consumption data encodings are used and it is an active area of research, so this will not provide a full description of possible data encodings. Instead, some ideas on main types of data encodings used are given. Figure 3.8 A simple self-timed pipeline

12 Bundled Data Bundled data encoding which is also known as single rail in a simple and effective approach. One wire represents exactly each data bit, with an additional wire for the request bit. When a block of logic within the stage processes the data bits, some matched delay is undergone by the request bit, the outgoing request is slowed by a set of gates and so it stays coherent in time with the data. Though it does not take advantage of function blocks that have variable delays, this style is simple and practical Dual-rail encoding The request signal is essentially built in to the encoding by using two wires per data bit in Dual-rail data encoding. Though detecting this completion can add extra overhead, as soon as it is finished, this encoding scheme allows the stage to indicate completion Asynchronous Handshaking Protocols Two-phase protocols Handshaking cycles made up of two events are present in two phase protocols. To complete the handshake, the value of the request changes once and then the value of the acknowledge changes accordingly. As a result, transition based encodings are used in 2-phase protocols, an event is indicated by a transition on a line rather than the value of the line.

13 Four-phase protocols Handshaking cycles made up of four events are present in four phase protocols. The acknowledge goes high as a response of the request going high. Then the acknowledge resets to a low value and the request also goes low to a reset value. More number of events are present in this protocol than a two-phase protocol, but as level-based logic is a simpler design style than transition-based logic, the logic implementations are often simpler. 3.6 ASYNCHRONOUS TO SYNCHRONOUS CONVERSION Figure 3.9 The asynchronous-synchronous controller diagram is denoted in Figure 3.9 Asynchronous-synchronous controller The asynchronous circuit fails during the instability of guards. Due to the fall of sv- & phi 0, The transition is cut off prematurely, by careful examination & elaborate implementation of asynchronous-synchronous interface controllers- cannot be determined and it is left at an level below

14 97 logic 0 and logic 1. At the end staticizer (S) will drive the value of sv- to a proper zero or one.even though this system has a metastable state that continues for randomly long time., once the outputs x and y of the combinational logic blocks is yet to reach legal logic levels then their values are inconsistent or the time falls(the x value states that sv was false and y value states that sv was true).this clearly throws light about the failure of the system, perhaps in a disastrous and unrecoverable way. Based on the resolution time of the staticizer on sv, the probability of failure can be calculated. By the addition of clock latches on sv connection along with the combinational logic, probability of failure can be reduced. And as a result, communication latency and increased resolution time, provided with milliwatts of power consumption. Figure 3.10 Asynchronous-synchronous controller using D-Latch There are a few remarks about the staticizer. Omitting the staticizer is the most common error in synchronous design. Naturally when a design technique omits the staticizer, it clearly depicts that it would like to get an

15 98 output with many errors with varying orders of magnitude. Moreover its equivalent to use an infinite resolution time staticizer. Next to it, a normal SR latch replaces the node sv and staticizer equation. Comparitively the resolution time is increased than the weak feedback staticizer. Finally the power consumption is very much reduced, when D latch is used in place of staticizer rather than using SR latch as shown in Figure3.10 Obviously communication latency and resolution time is drastically increased. The design does not add any circuits to the asynchronoussynchronous controller, instead of that replacing SR latch to D-Latch, Achieved low power due to introducing staticizer circuit. The performance analysis of different parameters are shown in Table 3.1.The performance level of varied parameters depicts that the proposed method was comparatively best than the conventional GALS method. Table 3.1 Performance analysis of various parameters Parameters Conventional gals Proposed Observation method method Throughput 98Mbps 102 Mbps 4 % increased Delay 2.5ns 1.8ns 28 % reduced Power Consumption 0.137mWatts 31.23µWatts 77 % Saved

16 99

17 100

18 101

19 102

20 103 The performances of throughput, power consumption and delay can be clearly depicted in Figure 3.15, Figure 3.16 and Figure The proposed method performance is better than the asynchronous-synchronous controller. Figure 3.15 Performance in terms of Throughput (Mbps) Figure 3.16 Performance in terms of delay

21 mw W Figure 3.17 Performance in terms of power of consumption 3.7 RESULTS AND DISCUSSIONS The GALS based asynchronous and synchronous interface controller was investigated by (Alain Martin et al 2006). When a pipeline controller is used by a staticizer, defects were identified and the power obtained is 0.137mwatts, throughput is 98 Mbps and dynamic stack is 15.7 with CMOS 65nm general purpose 8 met al copper-strain-sio (1.00v, 2.5v), time scale 10ns and delay in 2.5ns as in shown in Figure 3.9, Figure 3.13 and Figure Using micro wind back end HDL tool, the proposed method was being tested and compared with the existing pipeline controller. By replacing the D-Latch with the staticizer in pipeline controller, a few changes take place. Reduced delay is 1.8ns, the increased throughput is 102 Mbps, dynamic stack of 13.4 and drastic reduction in power consumption is achieved as 0.031m watts. The outline of the corresponding result is denoted in figure 3.11, figure 3.12, figure 3.13, figure 3.14, states CMOS 32nm-8 met al copper-double gate (0.80v, 1.20v) and timescale 50ns.

22 SUMMARY In this chapter, the comparative study has been done on the performance analysis of asynchronous and synchronous interface controller is carried out and found the reduced in power consumption. This work can be extended and SR latch is replaced with D-latch to obtain comparatively more reduction in power consumption. Still when the complexity of the circuit is increased for both methods, reduction in power consumption is not appreciable. Limitation of this approach pipeline controller is staticizer.

Implementation of ALU Using Asynchronous Design

Implementation of ALU Using Asynchronous Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 6 (Nov. - Dec. 2012), PP 07-12 Implementation of ALU Using Asynchronous Design P.

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Redundant States in Sequential Circuits

Redundant States in Sequential Circuits Redundant States in Sequential Circuits Removal of redundant states is important because Cost: the number of memory elements is directly related to the number of states Complexity: the more states the

More information

TEMPLATE BASED ASYNCHRONOUS DESIGN

TEMPLATE BASED ASYNCHRONOUS DESIGN TEMPLATE BASED ASYNCHRONOUS DESIGN By Recep Ozgur Ozdag A Dissertation Presented to the FACULTY OF THE GRADUATE SCHOOL UNIVERSITY OF SOUTHERN CALIFORNIA In Partial Fulfillment of the Requirements for the

More information

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset

A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset A Novel Pseudo 4 Phase Dual Rail Asynchronous Protocol with Self Reset Logic & Multiple Reset M.Santhi, Arun Kumar S, G S Praveen Kalish, Siddharth Sarangan, G Lakshminarayanan Dept of ECE, National Institute

More information

VHDL for Synthesis. Course Description. Course Duration. Goals

VHDL for Synthesis. Course Description. Course Duration. Goals VHDL for Synthesis Course Description This course provides all necessary theoretical and practical know how to write an efficient synthesizable HDL code through VHDL standard language. The course goes

More information

Chapter 6. CMOS Functional Cells

Chapter 6. CMOS Functional Cells Chapter 6 CMOS Functional Cells In the previous chapter we discussed methods of designing layout of logic gates and building blocks like transmission gates, multiplexers and tri-state inverters. In this

More information

A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits

A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits A Energy-Efficient Pipeline Templates for High-Performance Asynchronous Circuits Basit Riaz Sheikh and Rajit Manohar, Cornell University We present two novel energy-efficient pipeline templates for high

More information

Feedback Techniques for Dual-rail Self-timed Circuits

Feedback Techniques for Dual-rail Self-timed Circuits This document is an author-formatted work. The definitive version for citation appears as: R. F. DeMara, A. Kejriwal, and J. R. Seeber, Feedback Techniques for Dual-Rail Self-Timed Circuits, in Proceedings

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 1292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38000 Grenoble France Session 1.2 - Hop Topics for SoC Design Asynchronous System Design Prof. Marc RENAUDIN TIMA, Grenoble,

More information

Implementation of Asynchronous Topology using SAPTL

Implementation of Asynchronous Topology using SAPTL Implementation of Asynchronous Topology using SAPTL NARESH NAGULA *, S. V. DEVIKA **, SK. KHAMURUDDEEN *** *(senior software Engineer & Technical Lead, Xilinx India) ** (Associate Professor, Department

More information

Verilog for High Performance

Verilog for High Performance Verilog for High Performance Course Description This course provides all necessary theoretical and practical know-how to write synthesizable HDL code through Verilog standard language. The course goes

More information

Evaluation of pausible clocking for interfacing high speed IP cores in GALS Framework

Evaluation of pausible clocking for interfacing high speed IP cores in GALS Framework Evaluation of pausible clocking for interfacing high speed IP cores in GA Framework Joycee Mekie upratik Chakraborty Dinesh K. harma Indian Institute of Technology, Bombay, Mumbai 400076, India jrm@ee,supratik@cse,dinesh@ee.iitb.ac.in

More information

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI

MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI DEPARTMENT: ECE MAHALAKSHMI ENGINEERING COLLEGE TIRUCHIRAPALLI 621213 QUESTION BANK SUBJECT NAME: DIGITAL ELECTRONICS SEMESTER III SUBJECT CODE: EC2203 UNIT 5 : Synchronous and Asynchronous Sequential

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad

NoC Round Table / ESA Sep Asynchronous Three Dimensional Networks on. on Chip. Abbas Sheibanyrad NoC Round Table / ESA Sep. 2009 Asynchronous Three Dimensional Networks on on Chip Frédéric ric PétrotP Outline Three Dimensional Integration Clock Distribution and GALS Paradigm Contribution of the Third

More information

Chapter 3 : Control Unit

Chapter 3 : Control Unit 3.1 Control Memory Chapter 3 Control Unit The function of the control unit in a digital computer is to initiate sequences of microoperations. When the control signals are generated by hardware using conventional

More information

2015 Paper E2.1: Digital Electronics II

2015 Paper E2.1: Digital Electronics II s 2015 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

CS429: Computer Organization and Architecture

CS429: Computer Organization and Architecture CS429: Computer Organization and Architecture Dr. Bill Young Department of Computer Sciences University of Texas at Austin Last updated: January 2, 2018 at 11:23 CS429 Slideset 5: 1 Topics of this Slideset

More information

POWER consumption has become one of the most important

POWER consumption has become one of the most important 704 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Brief Papers High-Throughput Asynchronous Datapath With Software-Controlled Voltage Scaling Yee William Li, Student Member, IEEE, George

More information

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM

A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM A Synthesizable RTL Design of Asynchronous FIFO Interfaced with SRAM Mansi Jhamb, Sugam Kapoor USIT, GGSIPU Sector 16-C, Dwarka, New Delhi-110078, India Abstract This paper demonstrates an asynchronous

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

C A R L E T O N U N I V E R S I T Y. FINAL EXAMINATION April Duration: 3 Hours No. of Students: 108

C A R L E T O N U N I V E R S I T Y. FINAL EXAMINATION April Duration: 3 Hours No. of Students: 108 C A R L E T O N U N I V E R S I T Y FINAL EXAMINATION April 2011 Duration: 3 Hours No. of Students: 108 Department Name & Course Number: ELEC 3500 Digital Electronics Course Instructor(s): Ralph Mason

More information

An Overload-Free Data-Driven Ultra-Low-Power Networking Platform Architecture

An Overload-Free Data-Driven Ultra-Low-Power Networking Platform Architecture An Overload-Free Data-Driven Ultra-Low-Power Networking Platform Architecture Shuji SANNOMIYA 1, Yukikuni NISHIDA 2, Makoto IWATA 3, and Hiroaki NISHIKAWA 1 1 Faculty of Engineering, Information and Systems,

More information

Advantages and disadvantages

Advantages and disadvantages Advantages and disadvantages Advantages Disadvantages Asynchronous transmission Simple, doesn't require synchronization of both communication sides Cheap, timing is not as critical as for synchronous transmission,

More information

I/O Organization John D. Carpinelli, All Rights Reserved 1

I/O Organization John D. Carpinelli, All Rights Reserved 1 I/O Organization 1997 John D. Carpinelli, All Rights Reserved 1 Outline I/O interfacing Asynchronous data transfer Interrupt driven I/O DMA transfers I/O processors Serial communications 1997 John D. Carpinelli,

More information

ASSEMBLY LANGUAGE MACHINE ORGANIZATION

ASSEMBLY LANGUAGE MACHINE ORGANIZATION ASSEMBLY LANGUAGE MACHINE ORGANIZATION CHAPTER 3 1 Sub-topics The topic will cover: Microprocessor architecture CPU processing methods Pipelining Superscalar RISC Multiprocessing Instruction Cycle Instruction

More information

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design

Topics of this Slideset. CS429: Computer Organization and Architecture. Digital Signals. Truth Tables. Logic Design Topics of this Slideset CS429: Computer Organization and rchitecture Dr. Bill Young Department of Computer Science University of Texas at ustin Last updated: July 5, 2018 at 11:55 To execute a program

More information

Asynchronous Behavior Related Retiming in Gated-Clock GALS Systems

Asynchronous Behavior Related Retiming in Gated-Clock GALS Systems Asynchronous Behavior Related Retiming in Gated-Clock GALS Systems Sam Farrokhi, Masoud Zamani, Hossein Pedram, Mehdi Sedighi Amirkabir University of Technology Department of Computer Eng. & IT E-mail:

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

ISSN Vol.08,Issue.07, July-2016, Pages:

ISSN Vol.08,Issue.07, July-2016, Pages: ISSN 2348 2370 Vol.08,Issue.07, July-2016, Pages:1312-1317 www.ijatir.org Low Power Asynchronous Domino Logic Pipeline Strategy Using Synchronization Logic Gates H. NASEEMA BEGUM PG Scholar, Dept of ECE,

More information

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4) Verilog Sequential Logic Verilog for Synthesis Rev C (module 3 and 4) Jim Duckworth, WPI 1 Sequential Logic Module 3 Latches and Flip-Flops Implemented by using signals in always statements with edge-triggered

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

COPYRIGHTED MATERIAL INDEX

COPYRIGHTED MATERIAL INDEX INDEX Absorption law, 31, 38 Acyclic graph, 35 tree, 36 Addition operators, in VHDL (VHSIC hardware description language), 192 Algebraic division, 105 AND gate, 48 49 Antisymmetric, 34 Applicable input

More information

Low Power GALS Interface Implementation with Stretchable Clocking Scheme

Low Power GALS Interface Implementation with Stretchable Clocking Scheme www.ijcsi.org 209 Low Power GALS Interface Implementation with Stretchable Clocking Scheme Anju C and Kirti S Pande Department of ECE, Amrita Vishwa Vidyapeetham, Amrita School of Engineering Bangalore,

More information

ANEW asynchronous pipeline style, called MOUSETRAP,

ANEW asynchronous pipeline style, called MOUSETRAP, 684 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 6, JUNE 2007 MOUSETRAP: High-Speed Transition-Signaling Asynchronous Pipelines Montek Singh and Steven M. Nowick Abstract

More information

Optimization of Robust Asynchronous Circuits by Local Input Completeness Relaxation. Computer Science Department Columbia University

Optimization of Robust Asynchronous Circuits by Local Input Completeness Relaxation. Computer Science Department Columbia University Optimization of Robust Asynchronous ircuits by Local Input ompleteness Relaxation heoljoo Jeong Steven M. Nowick omputer Science Department olumbia University Outline 1. Introduction 2. Background: Hazard

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

The design of a simple asynchronous processor

The design of a simple asynchronous processor The design of a simple asynchronous processor SUN-YEN TAN 1, WEN-TZENG HUANG 2 1 Department of Electronic Engineering National Taipei University of Technology No. 1, Sec. 3, Chung-hsiao E. Rd., Taipei,10608,

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 4(part 2) Testability Measurements (Chapter 6) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Previous lecture What

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

FPGA Matrix Multiplier

FPGA Matrix Multiplier FPGA Matrix Multiplier In Hwan Baek Henri Samueli School of Engineering and Applied Science University of California Los Angeles Los Angeles, California Email: chris.inhwan.baek@gmail.com David Boeck Henri

More information

Design of 8 bit Pipelined Adder using Xilinx ISE

Design of 8 bit Pipelined Adder using Xilinx ISE Design of 8 bit Pipelined Adder using Xilinx ISE 1 Jayesh Diwan, 2 Rutul Patel Assistant Professor EEE Department, Indus University, Ahmedabad, India Abstract An asynchronous circuit, or self-timed circuit,

More information

EECS 151/251A Fall 2017 Digital Design and Integrated Circuits. Instructor: John Wawrzynek and Nicholas Weaver. Lecture 14 EE141

EECS 151/251A Fall 2017 Digital Design and Integrated Circuits. Instructor: John Wawrzynek and Nicholas Weaver. Lecture 14 EE141 EECS 151/251A Fall 2017 Digital Design and Integrated Circuits Instructor: John Wawrzynek and Nicholas Weaver Lecture 14 EE141 Outline Parallelism EE141 2 Parallelism Parallelism is the act of doing more

More information

Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding

Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding Single-Track Asynchronous Pipeline Templates Using 1-of-N Encoding Marcos Ferretti, Peter A. Beerel Department of Electrical Engineering Systems University of Southern California Los Angeles, CA 90089

More information

Synthesis at different abstraction levels

Synthesis at different abstraction levels Synthesis at different abstraction levels System Level Synthesis Clustering. Communication synthesis. High-Level Synthesis Resource or time constrained scheduling Resource allocation. Binding Register-Transfer

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Synthesis of Asynchronous Logic Design: A Study of Current Testing Practices. EXTRA CREDIT PROJECT EE552 Advanced Logic Design and Switching Theory

Synthesis of Asynchronous Logic Design: A Study of Current Testing Practices. EXTRA CREDIT PROJECT EE552 Advanced Logic Design and Switching Theory Synthesis of Asynchronous Logic Design: A Study of Current Testing Practices EXTRA CREDIT PROJECT EE552 Advanced Logic Design and Switching Theory Submitted By Maneesh Saxena. Department of Electrical

More information

Module 5 - CPU Design

Module 5 - CPU Design Module 5 - CPU Design Lecture 1 - Introduction to CPU The operation or task that must perform by CPU is: Fetch Instruction: The CPU reads an instruction from memory. Interpret Instruction: The instruction

More information

Basic Processing Unit: Some Fundamental Concepts, Execution of a. Complete Instruction, Multiple Bus Organization, Hard-wired Control,

Basic Processing Unit: Some Fundamental Concepts, Execution of a. Complete Instruction, Multiple Bus Organization, Hard-wired Control, UNIT - 7 Basic Processing Unit: Some Fundamental Concepts, Execution of a Complete Instruction, Multiple Bus Organization, Hard-wired Control, Microprogrammed Control Page 178 UNIT - 7 BASIC PROCESSING

More information

Introduction to Real-Time Communications. Real-Time and Embedded Systems (M) Lecture 15

Introduction to Real-Time Communications. Real-Time and Embedded Systems (M) Lecture 15 Introduction to Real-Time Communications Real-Time and Embedded Systems (M) Lecture 15 Lecture Outline Modelling real-time communications Traffic and network models Properties of networks Throughput, delay

More information

Chronos Latency - Pole Position Performance

Chronos Latency - Pole Position Performance WHITE PAPER Chronos Latency - Pole Position Performance By G. Rinaldi and M. T. Moreira, Chronos Tech 1 Introduction Modern SoC performance is often limited by the capability to exchange information at

More information

Section 3 - Backplane Architecture Backplane Designer s Guide

Section 3 - Backplane Architecture Backplane Designer s Guide Section 3 - Backplane Architecture Backplane Designer s Guide March 2002 Revised March 2002 The primary criteria for backplane design are low cost, high speed, and high reliability. To attain these often-conflicting

More information

Pipelining. Quiz 2 (next week) will cover materials through Tuesday s lecture. Lab 3 is due tonight. what Seymour Cray taught the laundry industry

Pipelining. Quiz 2 (next week) will cover materials through Tuesday s lecture. Lab 3 is due tonight. what Seymour Cray taught the laundry industry Pipelining what Seymour Cray taught the laundry industry Quiz 2 (next week) will cover materials through Tuesday s lecture. Lab 3 is due tonight. L09 - Pipelining 1 Forget 6.004 lets solve a Real Problem

More information

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and

In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and In this lecture, we will focus on two very important digital building blocks: counters which can either count events or keep time information, and shift registers, which is most useful in conversion between

More information

ECE 341 Final Exam Solution

ECE 341 Final Exam Solution ECE 341 Final Exam Solution Time allowed: 110 minutes Total Points: 100 Points Scored: Name: Problem No. 1 (10 points) For each of the following statements, indicate whether the statement is TRUE or FALSE.

More information

ADVANCED COMPUTER ARCHITECTURE TWO MARKS WITH ANSWERS

ADVANCED COMPUTER ARCHITECTURE TWO MARKS WITH ANSWERS ADVANCED COMPUTER ARCHITECTURE TWO MARKS WITH ANSWERS 1.Define Computer Architecture Computer Architecture Is Defined As The Functional Operation Of The Individual H/W Unit In A Computer System And The

More information

Routing Protocols in MANETs

Routing Protocols in MANETs Chapter 4 Routing Protocols in MANETs 4.1 Introduction The main aim of any Ad Hoc network routing protocol is to meet the challenges of the dynamically changing topology and establish a correct and an

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

Blog - https://anilkumarprathipati.wordpress.com/

Blog - https://anilkumarprathipati.wordpress.com/ Control Memory 1. Introduction The function of the control unit in a digital computer is to initiate sequences of microoperations. When the control signals are generated by hardware using conventional

More information

ECE 4750 Computer Architecture, Fall 2017 Lab 1: Iterative Integer Multiplier

ECE 4750 Computer Architecture, Fall 2017 Lab 1: Iterative Integer Multiplier School of Electrical and Computer Engineering Cornell University revision: 2017-08-31-12-21 The first lab assignment is a warmup lab where you will design two implementations of an integer iterative multiplier:

More information

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification

Verilog Tutorial 9/28/2015. Verilog Fundamentals. Originally designers used manual translation + bread boards for verification Verilog Fundamentals Verilog Tutorial History Data types Structural Verilog Functional Verilog Adapted from Krste Asanovic Originally designers used manual translation + bread boards for verification Hardware

More information

Systolic Super Summation with Reduced Hardware

Systolic Super Summation with Reduced Hardware Systolic Super Summation with Reduced Hardware Willard L. Miranker Mathematical Sciences Department IBM T.J. Watson Research Center Route 134 & Kitichwan Road Yorktown Heights, NY 10598 Abstract A principal

More information

Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome

Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome Pipeline Thoai Nam Outline Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome Reference: Computer Architecture: A Quantitative Approach, John L Hennessy

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 2012) The Register Transfer Level Daniel Kroening Oxford University, Computer Science Department Version 1.0, 2011 Outline Reminders Gates Implementations of Gates Latches, Flip-flops

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design 1 In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design

In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design In the previous lecture, we examined how to analyse a FSM using state table, state diagram and waveforms. In this lecture we will learn how to design a fininte state machine in order to produce the desired

More information

EE 3170 Microcontroller Applications

EE 3170 Microcontroller Applications EE 3170 Microcontroller Applications Lecture 4 : Processors, Computers, and Controllers - 1.2 (reading assignment), 1.3-1.5 Based on slides for ECE3170 by Profs. Kieckhafer, Davis, Tan, and Cischke Outline

More information

The Encoding Complexity of Network Coding

The Encoding Complexity of Network Coding The Encoding Complexity of Network Coding Michael Langberg Alexander Sprintson Jehoshua Bruck California Institute of Technology Email: mikel,spalex,bruck @caltech.edu Abstract In the multicast network

More information

Design-for-Test Approach of an Asynchronous etwork-on-chip Architecture and its Associated Test Pattern Generation and Application

Design-for-Test Approach of an Asynchronous etwork-on-chip Architecture and its Associated Test Pattern Generation and Application Design-for-Test Approach of an Asynchronous etwork-on-chip Architecture and its Associated Test Pattern Generation and Application Xuan-Tu Tran 1, 3, Yvain Thonnart 1, Jean Durupt 1, Vincent Beroulle 2,

More information

Problem Session Encode:

Problem Session Encode: Indian Institute of Information Technology Design and Manufacturing, Kancheepuram Chennai 600 17, India An Autonomous Institute under MHRD, Govt of India http://www.iiitdm.ac.in COM30 - Computer Networking

More information

EEL 4783: HDL in Digital System Design

EEL 4783: HDL in Digital System Design EEL 4783: HDL in Digital System Design Lecture 10: Synthesis Optimization Prof. Mingjie Lin 1 What Can We Do? Trade-offs with speed versus area. Resource sharing for area optimization. Pipelining, retiming,

More information

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT

ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT ARCHITECTURAL DESIGN OF 8 BIT FLOATING POINT MULTIPLICATION UNIT Usha S. 1 and Vijaya Kumar V. 2 1 VLSI Design, Sathyabama University, Chennai, India 2 Department of Electronics and Communication Engineering,

More information

Synchronization In Digital Systems

Synchronization In Digital Systems 2011 International Conference on Information and Network Technology IPCSIT vol.4 (2011) (2011) IACSIT Press, Singapore Synchronization In Digital Systems Ranjani.M. Narasimhamurthy Lecturer, Dr. Ambedkar

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

CS232 VHDL Lecture. Types

CS232 VHDL Lecture. Types CS232 VHDL Lecture VHSIC Hardware Description Language [VHDL] is a language used to define and describe the behavior of digital circuits. Unlike most other programming languages, VHDL is explicitly parallel.

More information

BACKGROUND OF THE INVENTION

BACKGROUND OF THE INVENTION Self-Reconfigurable Parallel Processor Made From Regularly-Connected Self-Dual Code/Data Processing Cells US Patent #5,886,537 Nicholas J. Macias, Lawrence B. Henry III and Murali Dandu Raju Abstract:

More information

Low Power System-on-Chip Design Chapters 3-4

Low Power System-on-Chip Design Chapters 3-4 1 Low Power System-on-Chip Design Chapters 3-4 Tomasz Patyk 2 Chapter 3: Multi-Voltage Design Challenges in Multi-Voltage Designs Voltage Scaling Interfaces Timing Issues in Multi-Voltage Designs Power

More information

Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome

Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome Thoai Nam Pipelining concepts The DLX architecture A simple DLX pipeline Pipeline Hazards and Solution to overcome Reference: Computer Architecture: A Quantitative Approach, John L Hennessy & David a Patterson,

More information

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture

Physical Implementation of the DSPIN Network-on-Chip in the FAUST Architecture 1 Physical Implementation of the DSPI etwork-on-chip in the FAUST Architecture Ivan Miro-Panades 1,2,3, Fabien Clermidy 3, Pascal Vivet 3, Alain Greiner 1 1 The University of Pierre et Marie Curie, Paris,

More information

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign

a, b sum module add32 sum vector bus sum[31:0] sum[0] sum[31]. sum[7:0] sum sum overflow module add32_carry assign I hope you have completed Part 1 of the Experiment. This lecture leads you to Part 2 of the experiment and hopefully helps you with your progress to Part 2. It covers a number of topics: 1. How do we specify

More information

register:a group of binary cells suitable for holding binary information flip-flops + gates

register:a group of binary cells suitable for holding binary information flip-flops + gates 9 차시 1 Ch. 6 Registers and Counters 6.1 Registers register:a group of binary cells suitable for holding binary information flip-flops + gates control when and how new information is transferred into the

More information

Latch Based Design (1A) Young Won Lim 2/18/15

Latch Based Design (1A) Young Won Lim 2/18/15 Latch Based Design (1A) Copyright (c) 2015 Young W. Lim. Permission is granted to copy, distribute and/or modify this document under the terms of the GNU Free Documentation License, Version 1.2 or any

More information

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process.

AMD actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. actual programming and testing on a system board. We will take a simple design example and go through the various stages of this design process. Conceptualize A Design Problem Select Device Implement Design

More information

Performance Optimization and Analysis of Blade Designs under Delay Variability

Performance Optimization and Analysis of Blade Designs under Delay Variability Performance Optimization and Analysis of Blade Designs under Delay Variability Dylan Hand, Hsin-Ho Huang, Benmao Chang, Yang Zhang *, Matheus Trevisan Moreira, Melvin Breuer, Ney Laert Vilar Calazans,

More information

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits

Compact Clock Skew Scheme for FPGA based Wave- Pipelined Circuits International Journal of Communication Engineering and Technology. ISSN 2277-3150 Volume 3, Number 1 (2013), pp. 13-22 Research India Publications http://www.ripublication.com Compact Clock Skew Scheme

More information

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering

University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Faculty of Applied Science and Engineering Edward S. Rogers Sr. Department of Electrical and Computer Engineering Final Examination ECE 241F - Digital Systems Examiners: S. Brown,

More information

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog ECE 2300 Digital Logic & Computer Organization Spring 2018 More Sequential Logic Verilog Lecture 7: 1 Announcements HW3 will be posted tonight Prelim 1 Thursday March 1, in class Coverage: Lectures 1~7

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

a) Memory management unit b) CPU c) PCI d) None of the mentioned

a) Memory management unit b) CPU c) PCI d) None of the mentioned 1. CPU fetches the instruction from memory according to the value of a) program counter b) status register c) instruction register d) program status word 2. Which one of the following is the address generated

More information

Synthesizing Asynchronous Micropipelines with Design Compiler

Synthesizing Asynchronous Micropipelines with Design Compiler Alexander Smirnov, Alexander Taubin ECE, Boston University {alexbs, taubin@bu.edu ABSTRACT We present an asynchronous micropipeline synthesis flow supporting conventional synthesizable HDL specifications.

More information

IA Digital Electronics - Supervision I

IA Digital Electronics - Supervision I IA Digital Electronics - Supervision I Nandor Licker Due noon two days before the supervision 1 Overview The goal of this exercise is to design an 8-digit calculator capable of adding

More information

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts

problem maximum score 1 8pts 2 6pts 3 10pts 4 15pts 5 12pts 6 10pts 7 24pts 8 16pts 9 19pts Total 120pts University of California at Berkeley College of Engineering Department of Electrical Engineering and Computer Sciences EECS150 J. Wawrzynek Spring 2010 3/31/09 Name: ID number: Midterm Exam This is a closed-book,

More information

Addressing the Challenges of Web Data Transport

Addressing the Challenges of Web Data Transport Addressing the Challenges of Web Data Transport Venkata N. Padmanabhan Microsoft Research UW Whistler Retreat December 1998 Outline Challenges Solutions TCP Session Fast Start Ongoing and Future Work The

More information

A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing

A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing Dean Truong, Wayne Cheng, Tinoosh Mohsenin, Zhiyi Yu, Toney Jacobson, Gouri Landge, Michael Meeuwsen, Christine

More information

Design of Asynchronous Interconnect Network for SoC

Design of Asynchronous Interconnect Network for SoC Final Report for ECE 6770 Project Design of Asynchronous Interconnect Network for SoC Hosuk Han 1 han@ece.utah.edu Junbok You jyou@ece.utah.edu May 12, 2007 1 Team leader Contents 1 Introduction 1 2 Project

More information