ISSN Vol.06,Issue.09, October-2014, Pages:

Size: px
Start display at page:

Download "ISSN Vol.06,Issue.09, October-2014, Pages:"

Transcription

1 ISSN Vol.06,Issue.09, October-2014, Pages: VLSI Implementation of Area Efficient and Delay Reduced Soft Output Digital Signal Detector for MIMO Systems S. SOUJANYA 1, S. BHARGAV KUMAR 2, K.DEEPIKA 3 1 PG Scholar, Dept ECE, Sridevi Women s Engineering College, JNTUH, Telangana, India, soujanya.meg@gmail.com. 2 Asst Prof, Dept ECE, Sridevi Women s Engineering College, JNTUH, Telangana, India, sbhk.kumar@gmail.com. 3 Asst Prof, Dept ECE, Sridevi Women s Engineering College, JNTUH, Telangana, India, onda.deepika27@gmail.com. Abstract: Multiple-Input-Multiple-Output (MIMO) plays important role in wireless communication receiver. Like any communication receiver, the goal of a MIMO receiver is to recover original data from the transmit sequence. This paper proposed the VLSI architecture of soft-output detector which is able to deal with 4x4 MIMO configurations. Soft output detection based algorithm is used in this paper and I have compared the results of proposed work with numeric values of existing work, in terms of area (number. of slices, number of 4 input flip flops, number of slice flip-flops), delay time (ns) and memory usage. Keywords: MIMO, Soft Output Detector, VLSI, Area.. I. INTROCUTION In recent years the telecommunications industry has experiencing a tremendous growth in the area of wireless communication. This growth has been ignited the widespread popularity of mobile telephones and wireless computer networking. To meet the growing demands for better user experience, the International Telecommunication Union has released its requirements for next-generation wireless networks, where much higher spectral efficiency, higher coverage, and lower latencies are expected [7]. It has been a broad agreement that enhanced multiple-input multiple-output (MIMO) technologies play an essential role in emerging wireless standards, e.g., IEEE m (WiMAX Profile 2.0) [9] to achieve or exceed the International Mobile Telecommunications Advanced target. Cellular systems experience highly dynamic channel conditions, where the signal-to-noise ratio (SNR) and fading properties vary within huge ranges. To guarantee the quality of service for users, as in the digital communication system, error detection and error correction is important for reliable communication with a specified error rate and data throughput, it is necessary that the system is equipped with multiple MIMO technologies. The performance of MIMO technology critically depends on the employed data-detection algorithm and corresponding high-performance methods usually entail very high complexity. In particular, a straightforward implementation of hard-output maximum-likelihood (ML) 2014 IJATIR. All rights reserved. detection and soft-output a-posteriori probability (APP) detection both providing error-rate performance requires to exhaustively testing all possible transmit symbols, which results in prohibitive complexity, for moderate data rates and in deep submicron technologies. To meet the requirement of very high data rates for wireless Internet and multimedia services, multiple transmitting and multiple receiving antennas have been proposed for fourth generation wireless systems. In cellular systems, performance is limited by fading and co-channel interference from other users. This paper presents a low-complexity MIMO symbol detector The VLSI implementation is based on a novel MIMO detection algorithm called soft output detection, which achieves a good trade-off between performance and implementation cost. The flexibility allows adaptive detection to minimize area utilization, power consumption without degradation in throughput. The VLSI implementation of the detector is presented to show that MIMO detection with reasonable silicon cost. In this dissertation I focus on receiver design for high data rate communication systems utilizing physically colocated arrays. When antenna arrays are found at both the transmitter and the receiver, i.e. more than one antenna at both locations, a multiple-input multiple-output (MIMO) channel is formed. Requirement of today's communication system when being implemented with VLSI technology is efficient hardware utilization and reduce the silicon area by using low power and work with high speed. Motivation for area efficient has been derived from needs to decrease the silicon area to reduce the cost. MIMO detection algorithms are used in wireless communication and satellite communication. The various examples are cellular phone i.e. GSM, IS-54 digital cellular phone standards, modems and video and audio broadcasting. II. LITERATURE SURVEY In this survey, we will discuss about the information found by study and research that is critical and have an important value in the contribution of the whole paper. It also gives some basic knowledge or theoretical base and is used as a foundation to successfully achieve the main objectives. Most of the literatures are from the related articles, journals, books and previous works of the same

2 fields. These literatures are then compiled and use as a guidance to the work of this paper. In algorithm used in[4] increases the implementation area the reasons for it also stated in [4]the problem of visiting the nodes per each state are more which is reduced in this paper using soft output detection algorithm which compares the path metrics of each state and trace back to the minimum value path only. The computational complexity of [5] which is implemented in Xilinx vfx600 [8] is more than the proposed work in terms of device utilization which uses more than 50% of the available hardware resources. The frequency with which the FPGA [6] works is less when compared to the proposed work. [6] Is implemented by the k best algorithm. The frequency is increased by 10%in the present work. Computational complexity of such systems can be reduced by using the algorithm which is done in this paper. It is important to develop a high-speed and area efficient decoder [1] to meet the increasing data rates of wireless systems such as the IEEE [9] as wireless local area network (WLAN) and 3GPP [10] LTE advanced. The past search algorithms will consume enormous computing power and require tremendous silicon resources on the chip. To reduce the exponentially algorithmic complexity soft-output MIMO detection algorithm is used in this paper which is based on K best detection algorithm with few modifications and their VLSI architectures have been proposed in this paper. Background on data detection algorithms for MIMO systems is first reviewed in past studies. Single-input multiple-output systems (SIMO) which is given in [2] a well known that receiver diversity can combat fading for the multiple users. As the channel information capacity can be greatly increased by employing multiple transmitting antennas, we move to describe MIMO systems. I present algorithm of data detection for multiple antennas at transmitter also. Mobile wireless connectivity is a key feature of a growing range of devices from laptops and cell phones to digital homes and portable devices. Many applications, such as digital video, are driving the creation of new high data rate multiple antenna wireless algorithms with challenges in the creation of area - time-power efficient architectures. As the algorithm used in this paper satisfy all the challenges. I have used an algorithm to design the detector to overcome the limitation implement its VLSI architecture using an FSD based soft output detector algorithm which is a fixed complexity soft output detection algorithm which reduces the area and delay time. This algorithm modifies the original sphere decoding concept for MIMO systems to make it more amenable to efficient, high speed hardware implementation. In this paper, with the aid of more realistic LTE and WiMAX simulation chains and different channel models, several MIMO detection algorithms are applied to LTE [7] and WiMAX systems and with their performance quantitatively evaluated. Second, although the detection algorithm proposed by the authors in [2] has a very low detection complexity, Note those most commercial terminals are limited by cost and power consumption, S. SOUJANYA, S. BHARGAV KUMAR, K.DEEPIKA especially the power consumption of the analog part of each antenna chain. According to the LTE and WiMAX standards, 4x2 and 2x2 MIMO schemes are included as a good trade-off between performance gain and complexity (or power consumption). A. Problems and Objective Of The Proposed Work While designing VLSI circuits for very efficient implementations the designer should consider algorithmic and hardware architectures trade-offs. Rapid prototyping for such applications will imply the short designs satisfying all the design constraints, such as timing and silicon area as the existing work occupies more area comparatively than the proposed work, the main goal is to reduce the area. The wireless systems are operated under harsh and challenging channel conditions. A 4x4 MIMO system for detection is been designed, simulated, implemented and synthesized. The aim of this work is VLSI implementation soft-output detection architecture [3] for 4 4 MIMO system. The design specifications are verified using Xilinx The RTL schematic is carried for the design to be implemented on Xilinx. The main Objectives of the present work on MIMO Systems are To implement and optimize soft output detector of MIMO system on FPGA. To verify area and timing delay the soft-output detection algorithm. Compare the numeric values with the existing work. III. FORMULATION OF AREA EFFICIENT AND DELAY REDUCED SOFT-OUTPUT DETECTION FOR MIMO SYSTEMS The soft-output detector is often the critical determining factor in both the performance and the complexity of the overall system. The significant impact of soft detection on the performance and complexity of MIMO systems makes an efficient and accurate soft-output detector essential for modern communication systems. While many efficient softoutput detection algorithms with low-error-rate performance exist, gaps in the research on this important topic remain. In particular, there is a lack of soft-output detection algorithms that approach with low and fixed computational complexity. This dissertation constructs soft-output detection algorithm to fill this gap. A detector that quantizes each posteriori probability to two or more bits of precision is an example of soft-output detector, while the exact posteriori probability detector is the ultimate soft-output detector. The optimal hard-output detector, known as the maximum likelihood (JML) detector [11], finds the best symbol vector from all possible transmission vectors and has a worst-case computational complexity that grows exponentially in the number of transmitters. The soft output detector has more challenging problems, where due to the presence of errorcontrol coding and signal-to-noise ratio, it has lower error rates than those obtained via hard-output detection. The soft output detector used in WIMax receiver. Due to the practical importance, the very large scale integration (VLSI) design of soft out detector has received a lot of attention.

3 VLSI Implementation of Area Efficient and Delay Reduced Soft Output Digital Signal Detector for MIMO Systems A. VLSI Implementation of Soft Output Detector to "roll over", to use this method it is necessary to make sure Architecture the path metric accumulators contain enough bits to prevent The algorithm used in this paper is soft output detection the "best" and "worst" values from coming within 2 (n-1) of algorithm which is a combination of Viterbi algorithm and each other. The compare circuit is essentially unchanged. k-best search algorithm. Viterbi detection algorithm is used to calculate branch metrics by using which the k-best search traces back by following the k-best detection search algorithm which is a depth first search algorithm. The VLSI implementation of architecture is shown in the figure 1, the major block are path metric block, add-compare-select block and trace back block and SMC block. Fig 1: VLSI architecture for soft output detector for MIMO. B. Path Metric Calculates the path metrics of a stage by adding the branch metrics, associated with a received symbol, to the path metrics from the previous stage of the trellis. The addcompare-select unit is the heart of the soft output detection algorithm and calculates the state metrics. These state metrics accumulate the minimum cost of arriving' in a specific state. The branch metrics are added to state metrics from the previous time instant and the smaller sum is selected as the new state metric: Fig 2: Implementation of a Path Metric Unit. C. Branch Metric Unit The first unit is called branch metric unit. Here the received data symbols are compared to the ideal outputs of the encoder from the transmitter and branch metric is calculated (fig 3). Hamming distance or the Euclidean distance is used for branch metric computation. The branch metric unit takes the fuzzy bit and calculates the cost for each branch of the trellis. sm1 n = min (sm1n -1 + bm 1, sm2 n-1 + bm 3 ) (1) sm2 n = min (sm1n -1 + bm 2, sm2 n-1 + bm 4 ) (2) A path metric unit summarizes branch metrics to get metrics for paths, where K is the constraint length of the code, one of which can eventually be chosen as optimal. Every clock it makes decisions, throwing off wittingly on optimal paths (fig 2). The results of these decisions are written to the memory of a trace back unit. The core elements of a PMU are ACS (Add-Compare-Select) units. The way in which they are connected between themselves is defined by a specific code's trellis diagram. Since branch metrics are always, there must be an additional circuit preventing metric counters from overflow (it isn't shown on the image). An alternate method that eliminates the need to monitor the path metric growth is to allow the path metrics Fig 3: Branch metric unit. The branch metric uses the Euclidean distance in proposed work for the four possible paths. First we initial four different received Euclidean distance lookup table. Then each time with check the input symbol, we get the four possible distances. The BMU perform simple check and select operations on the decision bits to generate the output.

4 D. Trace Back In the TB method, the storage can be implemented as RAM and is called the path memory. Comparisons in the ACS unit and not the actual survivors are stored. After at least L branches have been processed, the trellis connections are recalled in the reverse order and the path is traced back through the trellis diagram. The TB method extracts the decoded bits, beginning from the state with the minimum PM. Beginning at this state and tracing backward in time by following the survivor path that is by comparing the branch metric of each state and choosing the minimum branch metric path in the first stage of the trace back and in the second stage selecting path not just by following the survivor path stored in memory but choosing the branch metrics again on comparison bases and placing in the 3 bit register in memory which originally contributed to the current PM, a unique path is identified. While tracing back through the trellis, the decoded output sequence, corresponding to the traced branches, is generated in the reverse order (fig 4). Fig 4: Implementation of a Trace back Unit. Trace back architecture has a limited memory bandwidth in nature, and thus limits the decoding speed. When the trellis diagram is finished, the trace-back module will search the ML path from the final state which is state0 to the beginning state which is state0. Each time, the trace-back block just left shifts one bit of the binary state number and add one bit from the survivor path metric to compute the previous state. By doing this, the most likelihood path is found. All the modules are mapped in this module. The structured programming is used in this module. The input signals are clk, start_out. The output signals are data _out and term out. The output data will be a serial 12 bit data. The trace back unit output gives the final output without any errors, since the errors are corrected during the trace back path as the output of the encoder which is encoded data of S. SOUJANYA, S. BHARGAV KUMAR, K.DEEPIKA original one is given as input for the soft output detection by using the algorithm proposed here we can detect whether the original data is detected or not i.e output of the detector should be same as the output of the encoder, if not same as the algorithm used here will produce same output. But practical implementation results approximate values. On the other hand, breadth-first search, such as the K-Best algorithm outlined in [4], traverses the tree in a level-bylevel fashion, thus lending itself to fixed throughput and complexity at the price of visiting more nodes on average as well as deviating from the ML solution. E. State Machine Control Unit The control unit receives external instructions or commands which it converts into a sequence of control signals that the control unit applies to data path to implement a sequence of register-transfer level operations. Finite-state machines can model a large number of problems, among which are electronic design automation, protocol design, parsing and other engineering applications. The final unit is the trace back process where the minimum path using branch metric values and output data is identified. The trace back is the method for the path history management. Trace back method less area to compare. The routing cost is less when compared with the other register method to recover the original data. The SMu unit store the branch metric of each state and minimum value among that branch metrics. F. Algorithm for Proposed Work Step1: Initially, the branch metric for stage0 is zero Step2: Recursively calculate the path metric values of the stages for each state to find the minimum path and at this stage decisions are used to recursively update the minimum path. Step3: Recursively find the minimum path leading to each trellis state using decision from Step2 and the minimum path is called the survivor path for that state. Step 4: Repeatedly, calculate branch metric by adding path metric of present state and previous state of their respective branches. Step 5: Repeat steps 2,3,4 and then trace back starts from the final minimum, i.e final branch metric minimum value of the final stage.(n=6) Step 6: As the trace back position reaches to the stage n-1 selecting the minimum path by comparing the branch metrics and finding minimum branch metric for each stage trace back the original value from minimum branch metric state and finally as trace back reaches stage 0 the original data is detected. Tracing back in done based on the comparison not by following the survivor path obtained in the Viterbi algorithm. The soft output detection algorithm follows Viterbi algorithm for calculating the branch metrics and while detection during trace back follows the k best algorithm the breadth first search (fig 5). By using both algorithms and implementing it in the vlsi we can verify the hardware resources used by the detector of the MIMO system. The

5 VLSI Implementation of Area Efficient and Delay Reduced Soft Output Digital Signal Detector for MIMO Systems design methodology carried to meet the objectives is as The RTL schematic of top module decoder of proposed follows. The flowchart is shown in III section, shows the work is given below in Figure6 whose inputs are design methodology followed for developing MIMO. dn,clk,ena,rst and outputs are DR0 to DR5. Simulation report of the proposed work is given below in figure7. Fig 7: Simulation Report of the Proposed Work. B. Synthesis Results 1. Synthesis report of decoder Device utilization summary: Number of Slices: 238 out of % Number of Slice Flip Flops: 304 out of % Number of 4 input LUTs: 402 out of % Number of IOs: 17 Number of bonded IOBs: 17 out of 376 4% Number of GCLKs: 1 out of 24 4% Fig 5: Flowchart of the proposed detection algorithm. IV. RESULTS A. Simulation Results 2. Timing Summary: Speed Grade: -5 Minimum period: 5.568ns (Maximum Frequency: MHz) Minimum input arrival time before clock: 4.432ns Maximum output required time after clock: 4.063ns Maximum combinational path delay: No path found Total memory usage is kilobytes The number of IOs used in the detector only 17. Fig 6: RTL schematic of decoder. The results of existing work and proposed work were performed are seen in Xilinx. The hardware resources were estimated for the module and compared. The comparison is presented in Table 1.It can be clearly inferred from the table that there has been a significant reduction in the resource utilization in the proposed work compared to the existing work. The number of slices and 4 input LUTs used in the proposed work are only 3% when compared with the existing system indicating that a considerable percentage of slices are only used partially and number of Flip flops are 11% of that used in existing system comparatively number of IO s used 60% and number of IOB's used 9% o in proposed work as shown in the table 1.

6 S. SOUJANYA, S. BHARGAV KUMAR, K.DEEPIKA TABLE I: Comparison Results TABLE II: Timing Summary Function Minimum period Minimum input arrival time before clock Existing work MHz ns (LTE -advanced)", stanford res. inst, stanford, CA Tech Rep dec [8]Xilinx, Inc., [9]System Requirements (2010)[ONLINE] available: 07_002r4. pdf. [10] Overview of 3GPP Release10V0.0.8.(2010) Available: tion_releases/rel10_description_ zip. [11] Yang Sun, Member, IEEE, and Joseph R. Cavallaro, Senior Member, IEEE Trellis-Search Based Soft-Input Soft-Output MIMO Detector: Algorithm and VLSI Architecture ieee transactions on signal processing, vol. 60, no. 5, may Proposed work 5.568(Maximum frequency: mhz) 4.432ns Minimum time period in terms of frequency (MHz) increased by 25% and time delay is reduced by 19% as shown in table 4.2. The frequency increased in the proposed system when compared to the existing work and delay time for input to arrival also reduced. V. CONCLUSION & FUTURE SCOPE The proposed MIMO detector architecture based on the soft output detection algorithm was done successfully. The VLSI architecture has simpler code and flexible configuration when compared with the existing architecture and it saves silicon area through efficient device utilization and we compare the results in terms of area and delay, the proposed work is more efficient. Future scope of this paper is to work on frequency so that the detector works with much high speed. VI. REFERENCES [1]M.Sheeba, IIK.Monisha M.E. VLSI DESIGN, "FPGA Design of a Reduced Complexity Sphere Decoder for Wireless Applications",International Journal of Advanced Research in Computer Science & Technology (IJARCST 2014) Vol. 2, Issue 2, Ver. 3 (April - June 2014) ISSN [2]Ruchi sawal, B.B.S Kalyan,P.Vijay kumar,"fpga Implementation for Minimum Differential Feedback of MIMO-OFDM Transreceiver System",2013. [3]Christoph Studer and Helmut Bölcskei, Fellow,"Soft- Input Soft-Output Single Tree-Search Sphere Decoding", [4]Chung-An Shen, Ahmed M. Eltawil, Sudip Mondal and Khaled N. Salama "A Best-First Tree-Searching Approach for ML Decoding in MIMO System". [5] Nils Heidmann, Till Wiegand, Steffen Paul, "Architecture and FPGA-Implementation of a High Throughput K+-Best Detector"2011. [6]ShirlyEdward, alarvizhi,s2,anjana.r,aishwaryar, "Low Power Detection Architecture for MIMO Systems, International Journal of Engineering and Technology (IJET",2011). [7] T.Nakamura,"Requirements for further advancements for evolved universal terrestrial radio access (E-UTRA)

Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm

Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm International Journal of Scientific and Research Publications, Volume 3, Issue 8, August 2013 1 Low-Power Adaptive Viterbi Decoder for TCM Using T-Algorithm MUCHHUMARRI SANTHI LATHA*, Smt. D.LALITHA KUMARI**

More information

Design of Convolution Encoder and Reconfigurable Viterbi Decoder

Design of Convolution Encoder and Reconfigurable Viterbi Decoder RESEARCH INVENTY: International Journal of Engineering and Science ISSN: 2278-4721, Vol. 1, Issue 3 (Sept 2012), PP 15-21 www.researchinventy.com Design of Convolution Encoder and Reconfigurable Viterbi

More information

Implementation of reduced memory Viterbi Decoder using Verilog HDL

Implementation of reduced memory Viterbi Decoder using Verilog HDL IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 8, Issue 4 (Nov. - Dec. 2013), PP 73-79 Implementation of reduced memory Viterbi Decoder

More information

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2 ISSN 2277-2685 IJESR/November 2014/ Vol-4/Issue-11/799-807 Shruti Hathwalia et al./ International Journal of Engineering & Science Research DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL ABSTRACT

More information

Viterbi Algorithm for error detection and correction

Viterbi Algorithm for error detection and correction IOSR Journal of Electronicsl and Communication Engineering (IOSR-JECE) ISSN: 2278-2834-, ISBN: 2278-8735, PP: 60-65 www.iosrjournals.org Viterbi Algorithm for error detection and correction Varsha P. Patil

More information

Hardware Implementation

Hardware Implementation Low Density Parity Check decoder Hardware Implementation Ruchi Rani (2008EEE2225) Under guidance of Prof. Jayadeva Dr.Shankar Prakriya 1 Indian Institute of Technology LDPC code Linear block code which

More information

IMPLEMENTATION OF A BIT ERROR RATE TESTER OF A WIRELESS COMMUNICATION SYSTEM ON AN FPGA

IMPLEMENTATION OF A BIT ERROR RATE TESTER OF A WIRELESS COMMUNICATION SYSTEM ON AN FPGA IMPLEMENTATION OF A BIT ERROR RATE TESTER OF A WIRELESS COMMUNICATION SYSTEM ON AN FPGA Lakshmy Sukumaran 1, Dharani K G 2 1 Student, Electronics and communication, MVJ College of Engineering, Bangalore-560067

More information

A New MIMO Detector Architecture Based on A Forward-Backward Trellis Algorithm

A New MIMO Detector Architecture Based on A Forward-Backward Trellis Algorithm A New MIMO etector Architecture Based on A Forward-Backward Trellis Algorithm Yang Sun and Joseph R Cavallaro epartment of Electrical and Computer Engineering Rice University, Houston, TX 775 Email: {ysun,

More information

Parallel Computing for Detecting Processes for Distorted Signal

Parallel Computing for Detecting Processes for Distorted Signal Volume 65.17, March 213 Parallel Computing for Detecting Processes for Distorted Signal Sarkout N. Abdulla, PhD. Assist. Prof. Baghdad University Iraq Zainab T. Alisa, PhD. Baghdad University Iraq, IEEE

More information

Implementation of Convolution Encoder and Viterbi Decoder Using Verilog

Implementation of Convolution Encoder and Viterbi Decoder Using Verilog International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 11, Number 1 (2018), pp. 13-21 International Research Publication House http://www.irphouse.com Implementation

More information

Enhanced Reconfigurable Viterbi Decoder with NoC for OFDM Block of a Wireless Standard

Enhanced Reconfigurable Viterbi Decoder with NoC for OFDM Block of a Wireless Standard Enhanced Reconfigurable Viterbi Decoder with NoC for OFDM Block of a Wireless Standard Dr. D. Ganeshkumar 1, C.R. Suganya devi 2, Dr. V. Parimala 3 1 Professor and Head, Department of Electronics and Communication

More information

Implementation of Adaptive Viterbi Decoder on FPGA for Wireless Communication

Implementation of Adaptive Viterbi Decoder on FPGA for Wireless Communication Implementation of Adaptive Viterbi Decoder on FPGA for Wireless Communication Parameshwara R 1, Ganesh V.N 2 P.G. Student, Dept.of ECE, MITE College (Affiliated to VTU, Belagavi), Moodbidri, Karnataka,

More information

High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm

High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm High Speed ACSU Architecture for Viterbi Decoder Using T-Algorithm Atish A. Peshattiwar & Tejaswini G. Panse Department of Electronics Engineering, Yeshwantrao Chavan College of Engineering, E-mail : atishp32@gmail.com,

More information

An Efficient FPGA Implementation of the Advanced Encryption Standard (AES) Algorithm Using S-Box

An Efficient FPGA Implementation of the Advanced Encryption Standard (AES) Algorithm Using S-Box Volume 5 Issue 2 June 2017 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org An Efficient FPGA Implementation of the Advanced Encryption

More information

International Journal of Science Engineering and Advance Technology, IJSEAT, Vol 2, Issue 11, November ISSN

International Journal of Science Engineering and Advance Technology, IJSEAT, Vol 2, Issue 11, November ISSN Rtl Desing And Vlsi Implementation Of An Efficient Convolution Encoder And Adaptive Viterbi Decoder Thalakayala Eleesha #1 V.G.Pavan Kumar #2 #1 Student, M.Tech (VLSI), #2 Assistant Professor, Sri Vasavi

More information

Design of Viterbi Decoder for Noisy Channel on FPGA Ms. M.B.Mulik, Prof. U.L.Bombale, Prof. P.C.Bhaskar

Design of Viterbi Decoder for Noisy Channel on FPGA Ms. M.B.Mulik, Prof. U.L.Bombale, Prof. P.C.Bhaskar International Journal of Scientific & Engineering Research Volume 2, Issue 6, June-2011 1 Design of Viterbi Decoder for Noisy Channel on FPGA Ms. M.B.Mulik, Prof. U.L.Bombale, Prof. P.C.Bhaskar Abstract

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics

Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Implementation of FFT Processor using Urdhva Tiryakbhyam Sutra of Vedic Mathematics Yojana Jadhav 1, A.P. Hatkar 2 PG Student [VLSI & Embedded system], Dept. of ECE, S.V.I.T Engineering College, Chincholi,

More information

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS

PERFORMANCE ANALYSIS OF HIGH EFFICIENCY LOW DENSITY PARITY-CHECK CODE DECODER FOR LOW POWER APPLICATIONS American Journal of Applied Sciences 11 (4): 558-563, 2014 ISSN: 1546-9239 2014 Science Publication doi:10.3844/ajassp.2014.558.563 Published Online 11 (4) 2014 (http://www.thescipub.com/ajas.toc) PERFORMANCE

More information

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN

A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN A SIMULINK-TO-FPGA MULTI-RATE HIERARCHICAL FIR FILTER DESIGN Xiaoying Li 1 Fuming Sun 2 Enhua Wu 1, 3 1 University of Macau, Macao, China 2 University of Science and Technology Beijing, Beijing, China

More information

Low Power Asynchronous Viterbi Decoder Using Hybrid Register Exchange Method

Low Power Asynchronous Viterbi Decoder Using Hybrid Register Exchange Method IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 4, Ver. II (Jul.-Aug. 2017), PP 26-30 www.iosrjournals.org Low Power Asynchronous

More information

Controller IP for a Low Cost FPGA Based USB Device Core

Controller IP for a Low Cost FPGA Based USB Device Core National Conference on Emerging Trends in VLSI, Embedded and Communication Systems-2013 17 Controller IP for a Low Cost FPGA Based USB Device Core N.V. Indrasena and Anitta Thomas Abstract--- In this paper

More information

Efficient Convolutional Adaptive Viterbi Encoder and Decoder Using RTL Design

Efficient Convolutional Adaptive Viterbi Encoder and Decoder Using RTL Design Efficient Convolutional Adaptive Viterbi Encoder and Decoder Using RTL Design Sudharani B K 1, Dhananjay B 2, Praveen J 3, Raghavendra Rao A 4 M.Tech Student, Dept. of ECE, VLSI design and embedded systems,

More information

{ rizwan.rasheed, aawatif.menouni eurecom.fr,

{ rizwan.rasheed, aawatif.menouni eurecom.fr, Reconfigurable Viterbi Decoder for Mobile Platform Rizwan RASHEED, Mobile Communications Department, Institut Eurecom, Sophia Antipolis, France Aawatif MENOUNI HAYAR, Mobile Communications Department,

More information

Design of Mimo Detector using K-Best Algorithm

Design of Mimo Detector using K-Best Algorithm International Journal of Scientific and Research Publications, Volume 4, Issue 8, August 2014 1 Design of Mimo Detector using K-Best Algorithm Akila. V, Jayaraj. P Assistant Professors, Department of ECE,

More information

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS

DESIGN AND IMPLEMENTATION OF VLSI SYSTOLIC ARRAY MULTIPLIER FOR DSP APPLICATIONS International Journal of Computing Academic Research (IJCAR) ISSN 2305-9184 Volume 2, Number 4 (August 2013), pp. 140-146 MEACSE Publications http://www.meacse.org/ijcar DESIGN AND IMPLEMENTATION OF VLSI

More information

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit

Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit Design of a Pipelined 32 Bit MIPS Processor with Floating Point Unit P Ajith Kumar 1, M Vijaya Lakshmi 2 P.G. Student, Department of Electronics and Communication Engineering, St.Martin s Engineering College,

More information

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation.

Keywords: Soft Core Processor, Arithmetic and Logical Unit, Back End Implementation and Front End Implementation. ISSN 2319-8885 Vol.03,Issue.32 October-2014, Pages:6436-6440 www.ijsetr.com Design and Modeling of Arithmetic and Logical Unit with the Platform of VLSI N. AMRUTHA BINDU 1, M. SAILAJA 2 1 Dept of ECE,

More information

An Implementation of a Soft-Input Stack Decoder For Tailbiting Convolutional Codes

An Implementation of a Soft-Input Stack Decoder For Tailbiting Convolutional Codes An Implementation of a Soft-Input Stack Decoder For Tailbiting Convolutional Codes Mukundan Madhavan School Of ECE SRM Institute Of Science And Technology Kancheepuram 603203 mukundanm@gmail.com Andrew

More information

HARDWARE BASED BINARY ARITHMETIC ENGINE

HARDWARE BASED BINARY ARITHMETIC ENGINE HARDWARE BASED BINARY ARITHMETIC ENGINE 1 N. SARANYA, 2 DHIRENDRA KUMAR TRIPATHI, 3 DR. R. MUTHAIAH 1 Assiciate System Engineer, IBM India Pvt., Ltd.,, Banglore, India-560066 2 Asst. Prof., Department

More information

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE Anni Benitta.M #1 and Felcy Jeba Malar.M *2 1# Centre for excellence in VLSI Design, ECE, KCG College of Technology, Chennai, Tamilnadu

More information

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS

EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL INTERLEAVER FOR LONG TERM EVOLUTION SYSTEMS Rev. Roum. Sci. Techn. Électrotechn. et Énerg. Vol. 61, 1, pp. 53 57, Bucarest, 016 Électronique et transmission de l information EFFICIENT RECURSIVE IMPLEMENTATION OF A QUADRATIC PERMUTATION POLYNOMIAL

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 6464(Print) ISSN 0976 6472(Online) Volume 4, Issue 6, November - December, 2013, pp. 85-92 IAEME: www.iaeme.com/ijecet.asp

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK LOW POWER VITERBI DECODER FOR TCM USING T-ALGORITHM CHETAN K. SHENDURKAR 1, MRS.

More information

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter

Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm For FIR Filter African Journal of Basic & Applied Sciences 9 (1): 53-58, 2017 ISSN 2079-2034 IDOSI Publications, 2017 DOI: 10.5829/idosi.ajbas.2017.53.58 Design of a Multiplier Architecture Based on LUT and VHBCSE Algorithm

More information

The research of key technologies in the fifth-generation mobile communication system Su Lina1, a, Chen Wen2,b, Chen Ping3,c, Lu Yanqian4,d

The research of key technologies in the fifth-generation mobile communication system Su Lina1, a, Chen Wen2,b, Chen Ping3,c, Lu Yanqian4,d International Industrial Informatics and Computer Engineering Conference (IIICEC 2015) The research of key technologies in the fifth-generation mobile communication system Su Lina1, a, Chen Wen2,b, Chen

More information

Design & Implementation of AHB Interface for SOC Application

Design & Implementation of AHB Interface for SOC Application Design & Implementation of AHB Interface for SOC Application Sangeeta Mangal M. Tech. Scholar Department of Electronics & Communication Pacific University, Udaipur (India) enggsangeetajain@gmail.com Nakul

More information

Viterbi Algorithm - Implementation

Viterbi Algorithm - Implementation Viterbi Algorithm - Implementation Lecture 14 Vladimir Stojanović 6.973 Communication System Design Spring 2006 Massachusetts Institute of Technology Convolutional Codes Adding redundancy 1+D 2 + 0111

More information

The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1].

The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS V Release 10[1]. Lekha IP Core: LW RI 1002 3GPP LTE Turbo Decoder IP Core V1.0 The Lekha 3GPP LTE Turbo Decoder IP Core meets 3GPP LTE specification 3GPP TS 36.212 V 10.5.0 Release 10[1]. Introduction The Lekha IP 3GPP

More information

Embedded Technology for Remote Data Logging, Monitoring and Controlling Using GSM/GPRS

Embedded Technology for Remote Data Logging, Monitoring and Controlling Using GSM/GPRS Embedded Technology for Remote Data Logging, Monitoring and Controlling Using GSM/GPRS Sonika Bhagwatrao Jadhav 1, Prof. Ajay S. Wadhawe 2 Research Scholar (M.E.), Dept of ECE, SSIEMS, Parbhani, Maharashtra,

More information

Low Complexity Architecture for Max* Operator of Log-MAP Turbo Decoder

Low Complexity Architecture for Max* Operator of Log-MAP Turbo Decoder International Journal of Current Engineering and Technology E-ISSN 2277 4106, P-ISSN 2347 5161 2015 INPRESSCO, All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Low

More information

Design of Convolutional Codes for varying Constraint Lengths

Design of Convolutional Codes for varying Constraint Lengths Design of Convolutional Codes for varying Constraint Lengths S VikramaNarasimhaReddy 1, Charan Kumar K 2, Neelima Koppala 3 1,2 MTech(VLSI) Student, 3 Assistant Professor, ECE Department, SreeVidyanikethan

More information

Design and Implementation of Modified Sequential Parallel RNS Forward Converters

Design and Implementation of Modified Sequential Parallel RNS Forward Converters Design and Implementation of Modified Sequential Parallel RNS Forward Converters K Anitha 1, T S Arulananth 1, R Karthik 1, P Bhaskara Reddy 1 1 Department of Electronics and Communication Engineering,

More information

Fault Tolerant Parallel Filters Based on ECC Codes

Fault Tolerant Parallel Filters Based on ECC Codes Advances in Computational Sciences and Technology ISSN 0973-6107 Volume 11, Number 7 (2018) pp. 597-605 Research India Publications http://www.ripublication.com Fault Tolerant Parallel Filters Based on

More information

Implementation Analysis of adaptive Viterbi Decoder for High Speed Applications

Implementation Analysis of adaptive Viterbi Decoder for High Speed Applications Implementation Analysis of adaptive Viterbi Decoder for High Speed Applications P. Subhashini M. Tech Student Kakinada Institute of Engineering & Technology, JNTUK D. R. Mahesh Varma Assistant Professor,

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

LTE multi-cellular system in urban environment: inter-cell interference Impact on the Downlink radio transmission

LTE multi-cellular system in urban environment: inter-cell interference Impact on the Downlink radio transmission LTE multi-cellular system in urban environment: inter-cell interference Impact on the Downlink radio transmission Younes BALBOUL Signals, Systems and Components Lab Faculty of Science and Technology, Fez,

More information

LTE: MIMO Techniques in 3GPP-LTE

LTE: MIMO Techniques in 3GPP-LTE Nov 5, 2008 LTE: MIMO Techniques in 3GPP-LTE PM101 Dr Jayesh Kotecha R&D, Cellular Products Group Freescale Semiconductor Proprietary Information Freescale and the Freescale logo are trademarks of Freescale

More information

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print):

HCTL Open Int. J. of Technology Innovations and Research HCTL Open IJTIR, Volume 4, July 2013 e-issn: ISBN (Print): Design, Implementation and Functional Verification of Serial Communication Protocols (SPI and I2C) on FPGAs Amit Kumar Shrivastava and Himanshu Joshi amit0404@gmail.com Abstract Today, at the low end of

More information

ISSN Vol.02, Issue.11, December-2014, Pages:

ISSN Vol.02, Issue.11, December-2014, Pages: ISSN 2322-0929 Vol.02, Issue.11, December-2014, Pages:1208-1212 www.ijvdcs.org Implementation of Area Optimized Floating Point Unit using Verilog G.RAJA SEKHAR 1, M.SRIHARI 2 1 PG Scholar, Dept of ECE,

More information

AUTOMATED GARBAGE COLLECTION USING GPS AND GSM. Shobana G 1, Sureshkumar R 2

AUTOMATED GARBAGE COLLECTION USING GPS AND GSM. Shobana G 1, Sureshkumar R 2 Volume 118 No. 20 2018, 751-755 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu AUTOMATED GARBAGE COLLECTION USING GPS AND GSM Shobana G 1, Sureshkumar

More information

Design, Analysis and Processing of Efficient RISC Processor

Design, Analysis and Processing of Efficient RISC Processor Design, Analysis and Processing of Efficient RISC Processor Ramareddy 1, M.N.Pradeep 2 1M-Tech., VLSI D& Embedded Systems, Dept of E&CE, Dayananda Sagar College of Engineering, Bangalore. Karnataka, India

More information

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE.

16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. 16 BIT IMPLEMENTATION OF ASYNCHRONOUS TWOS COMPLEMENT ARRAY MULTIPLIER USING MODIFIED BAUGH-WOOLEY ALGORITHM AND ARCHITECTURE. AditiPandey* Electronics & Communication,University Institute of Technology,

More information

An FPGA Based Adaptive Viterbi Decoder

An FPGA Based Adaptive Viterbi Decoder An FPGA Based Adaptive Viterbi Decoder Sriram Swaminathan Russell Tessier Department of ECE University of Massachusetts Amherst Overview Introduction Objectives Background Adaptive Viterbi Algorithm Architecture

More information

An Efficient VLSI Architecture of a Clock-gating Turbo Decoder

An Efficient VLSI Architecture of a Clock-gating Turbo Decoder An Efficient VLSI Architecture of a Clock-gating Turbo Decoder Abstracts P Priyanka, P.G.STUDENT, Kakinada Institute of Engineering and Technology M Devi Satya Priya, Asst.prof, Kakinada Institute of Engineering

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

FPGA Implementation of High Speed AES Algorithm for Improving The System Computing Speed

FPGA Implementation of High Speed AES Algorithm for Improving The System Computing Speed FPGA Implementation of High Speed AES Algorithm for Improving The System Computing Speed Vijaya Kumar. B.1 #1, T. Thammi Reddy.2 #2 #1. Dept of Electronics and Communication, G.P.R.Engineering College,

More information

Hardware Implementation of Cryptosystem by AES Algorithm Using FPGA

Hardware Implementation of Cryptosystem by AES Algorithm Using FPGA Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IMPACT FACTOR: 6.017 IJCSMC,

More information

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor Volume 2 Issue 1 March 2014 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org New Approach for Affine Combination of A New Architecture

More information

MCM Based FIR Filter Architecture for High Performance

MCM Based FIR Filter Architecture for High Performance ISSN No: 2454-9614 MCM Based FIR Filter Architecture for High Performance R.Gopalana, A.Parameswari * Department Of Electronics and Communication Engineering, Velalar College of Engineering and Technology,

More information

LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM

LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM LOW POWER FPGA IMPLEMENTATION OF REAL-TIME QRS DETECTION ALGORITHM VIJAYA.V, VAISHALI BARADWAJ, JYOTHIRANI GUGGILLA Electronics and Communications Engineering Department, Vaagdevi Engineering College,

More information

Design and Implementation of Hamming Code on FPGA using Verilog

Design and Implementation of Hamming Code on FPGA using Verilog International Journal of Engineering and Advanced Technology (IJEAT) Design and Implementation of Hamming Code on FPGA using Verilog Ravi Hosamani, Ashwini S. Karne Abstract In mathematics, digital communication

More information

Efficient Crosstalk Avoidance Using Modified Redundant Fibonacci Code (MRFC) in VLSI Interconnects

Efficient Crosstalk Avoidance Using Modified Redundant Fibonacci Code (MRFC) in VLSI Interconnects World Engineering & Applied Sciences Journal 8 (1): 48-56, 2017 ISSN 2079-2204 IDOSI Publications, 2017 DOI: 10.5829/idosi.weasj.2017.48.56 Efficient Crosstalk Avoidance Using Modified Redundant Fibonacci

More information

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier

Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Design and Implementation of VLSI 8 Bit Systolic Array Multiplier Khumanthem Devjit Singh, K. Jyothi MTech student (VLSI & ES), GIET, Rajahmundry, AP, India Associate Professor, Dept. of ECE, GIET, Rajahmundry,

More information

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4) Verilog Sequential Logic Verilog for Synthesis Rev C (module 3 and 4) Jim Duckworth, WPI 1 Sequential Logic Module 3 Latches and Flip-Flops Implemented by using signals in always statements with edge-triggered

More information

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications

Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications , Vol 7(4S), 34 39, April 204 ISSN (Print): 0974-6846 ISSN (Online) : 0974-5645 Pipelined Quadratic Equation based Novel Multiplication Method for Cryptographic Applications B. Vignesh *, K. P. Sridhar

More information

Convolutional Codes. COS 463: Wireless Networks Lecture 9 Kyle Jamieson. [Parts adapted from H. Balakrishnan]

Convolutional Codes. COS 463: Wireless Networks Lecture 9 Kyle Jamieson. [Parts adapted from H. Balakrishnan] Convolutional Codes COS 463: Wireless Networks Lecture 9 Kyle Jamieson [Parts adapted from H. Balakrishnan] Today 1. Encoding data using convolutional codes Encoder state Changing code rate: Puncturing

More information

FPGA Based FIR Filter using Parallel Pipelined Structure

FPGA Based FIR Filter using Parallel Pipelined Structure FPGA Based FIR Filter using Parallel Pipelined Structure Rajesh Mehra, SBL Sachan Electronics & Communication Engineering Department National Institute of Technical Teachers Training & Research Chandigarh,

More information

Indian Silicon Technologies 2013

Indian Silicon Technologies 2013 SI.No Topics IEEE YEAR 1. An RFID Based Solution for Real-Time Patient Surveillance and data Processing Bio- Metric System using FPGA 2. Real-time Binary Shape Matching System Based on FPGA 3. An Optimized

More information

Performance Analysis of K-Best Sphere Decoder Algorithm for Spatial Multiplexing MIMO Systems

Performance Analysis of K-Best Sphere Decoder Algorithm for Spatial Multiplexing MIMO Systems Volume 114 No. 10 2017, 97-107 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Performance Analysis of K-Best Sphere Decoder Algorithm for Spatial

More information

Performance Analysis of 64-Bit Carry Look Ahead Adder

Performance Analysis of 64-Bit Carry Look Ahead Adder Journal From the SelectedWorks of Journal November, 2014 Performance Analysis of 64-Bit Carry Look Ahead Adder Daljit Kaur Ana Monga This work is licensed under a Creative Commons CC_BY-NC International

More information

Performance of Sphere Decoder for MIMO System using Radius Choice Algorithm

Performance of Sphere Decoder for MIMO System using Radius Choice Algorithm International Journal of Current Engineering and Technology ISSN 2277 4106 2013 INPRESSCO. All Rights Reserved Available at http://inpressco.com/category/ijcet Research Article Performance of Sphere Decoder

More information

ISSN Vol.05,Issue.09, September-2017, Pages:

ISSN Vol.05,Issue.09, September-2017, Pages: WWW.IJITECH.ORG ISSN 2321-8665 Vol.05,Issue.09, September-2017, Pages:1693-1697 AJJAM PUSHPA 1, C. H. RAMA MOHAN 2 1 PG Scholar, Dept of ECE(DECS), Shirdi Sai Institute of Science and Technology, Anantapuramu,

More information

Chip Design for Turbo Encoder Module for In-Vehicle System

Chip Design for Turbo Encoder Module for In-Vehicle System Chip Design for Turbo Encoder Module for In-Vehicle System Majeed Nader Email: majeed@wayneedu Yunrui Li Email: yunruili@wayneedu John Liu Email: johnliu@wayneedu Abstract This paper studies design and

More information

Speed Effect on the Performance of Vertical Handover in Wifi-3G Network

Speed Effect on the Performance of Vertical Handover in Wifi-3G Network Speed Effect on the Performance of Vertical Handover in Wifi-3G Network Hassan Khalil Arab American University of Jenin Ramallah, Palestine Emails: Hassan.khalil22@gmail.com Mohammad Hamarsheh Arab American

More information

Module 1. Introduction. Version 2, CSE IIT, Kharagpur

Module 1. Introduction. Version 2, CSE IIT, Kharagpur Module 1 Introduction Version 2, CSE IIT, Kharagpur Introduction In this module we shall highlight some of the basic aspects of computer networks in two lessons. In lesson 1.1 we shall start with the historical

More information

Non-recursive complexity reduction encoding scheme for performance enhancement of polar codes

Non-recursive complexity reduction encoding scheme for performance enhancement of polar codes Non-recursive complexity reduction encoding scheme for performance enhancement of polar codes 1 Prakash K M, 2 Dr. G S Sunitha 1 Assistant Professor, Dept. of E&C, Bapuji Institute of Engineering and Technology,

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

ISSN Vol.08,Issue.12, September-2016, Pages:

ISSN Vol.08,Issue.12, September-2016, Pages: ISSN 2348 2370 Vol.08,Issue.12, September-2016, Pages:2273-2277 www.ijatir.org G. DIVYA JYOTHI REDDY 1, V. ROOPA REDDY 2 1 PG Scholar, Dept of ECE, TKR Engineering College, Hyderabad, TS, India, E-mail:

More information

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS

FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS FPGA IMPLEMENTATION FOR REAL TIME SOBEL EDGE DETECTOR BLOCK USING 3-LINE BUFFERS 1 RONNIE O. SERFA JUAN, 2 CHAN SU PARK, 3 HI SEOK KIM, 4 HYEONG WOO CHA 1,2,3,4 CheongJu University E-maul: 1 engr_serfs@yahoo.com,

More information

Performance Evaluation & Design Methodologies for Automated CRC Checking for 32 bit address Using HDLC Block

Performance Evaluation & Design Methodologies for Automated CRC Checking for 32 bit address Using HDLC Block Performance Evaluation & Design Methodologies for Automated CRC Checking for 32 bit address Using HDLC Block 32 Bit Neeraj Kumar Misra, (Assistant professor, Dept. of ECE, R D Foundation Group of Institution

More information

Fused Floating Point Arithmetic Unit for Radix 2 FFT Implementation

Fused Floating Point Arithmetic Unit for Radix 2 FFT Implementation IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 2, Ver. I (Mar. -Apr. 2016), PP 58-65 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Fused Floating Point Arithmetic

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis Application Note: All Virtex and Spartan FPGA Families XAPP551 (v2.) July 3, 21 Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Author: Michael Francis Summary Many digital communication

More information

FPGA-Based Bit Error Rate Performance measuring of Wireless Systems

FPGA-Based Bit Error Rate Performance measuring of Wireless Systems FPGA-Based Bit Error Rate Performance measuring of Wireless Systems Viha Pataskar 1, Vishal Puranik 2 P.G. Student, Department of Electronics and Telecommunication Engineering, BSIOTR College, Wagholi,

More information

Design of Flash Controller for Single Level Cell NAND Flash Memory

Design of Flash Controller for Single Level Cell NAND Flash Memory Design of Flash Controller for Single Level Cell NAND Flash Memory Ashwin Bijoor 1, Sudharshana 2 P.G Student, Department of Electronics and Communication, NMAMIT, Nitte, Karnataka, India 1 Assistant Professor,

More information

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL

VLSI DESIGN OF REDUCED INSTRUCTION SET COMPUTER PROCESSOR CORE USING VHDL International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol.2, Issue 3 (Spl.) Sep 2012 42-47 TJPRC Pvt. Ltd., VLSI DESIGN OF

More information

FPGA Implementation of I2C and SPI Protocols using VHDL

FPGA Implementation of I2C and SPI Protocols using VHDL FPGA Implementation of I2C and SPI Protocols using VHDL Satish M Ghuse 1, Prof. Surendra K. Waghmare 2 1, 2 Department of ENTC 1, 2 SPPU/G.H.Raisoni College of Engineering and Management, Pune, Maharashtra/Zone,

More information

Optimization of Path Selection in MIMO

Optimization of Path Selection in MIMO International Journal on Recent and Innovation Trends in Computing and Communication ISSN: 2321-8169 Optimization of Path Selection in MIMO V.Santhiya 1 M.E.,Communication System, Priyadarshini Engineering

More information

CHANNEL CODING 1. Introduction

CHANNEL CODING 1. Introduction CHANNEL CODING 1. Introduction The fundamental resources at the disposal of a communications engineer are signal power, time and bandwidth. For a given communications environment, these three resources

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT

Design of Delay Efficient Distributed Arithmetic Based Split Radix FFT Design of Delay Efficient Arithmetic Based Split Radix FFT Nisha Laguri #1, K. Anusudha *2 #1 M.Tech Student, Electronics, Department of Electronics Engineering, Pondicherry University, Puducherry, India

More information

ISSN: [Garade* et al., 6(1): January, 2017] Impact Factor: 4.116

ISSN: [Garade* et al., 6(1): January, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY FULLY REUSED VLSI ARCHITECTURE OF DSRC ENCODERS USING SOLS TECHNIQUE Supriya Shivaji Garade*, Prof. P. R. Badadapure * Department

More information

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications

Research Article Design of A Novel 8-point Modified R2MDC with Pipelined Technique for High Speed OFDM Applications Research Journal of Applied Sciences, Engineering and Technology 7(23): 5021-5025, 2014 DOI:10.19026/rjaset.7.895 ISSN: 2040-7459; e-issn: 2040-7467 2014 Maxwell Scientific Publication Corp. Submitted:

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS

DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS DESIGN AND IMPLEMENTATION OF 32-BIT CONTROLLER FOR INTERACTIVE INTERFACING WITH RECONFIGURABLE COMPUTING SYSTEMS Ashutosh Gupta and Kota Solomon Raju Digital System Group, Central Electronics Engineering

More information

PERFORMANCE ANALYSIS OF BFSK MULTI-HOP COMMUNICATION SYSTEMS OVER Κ-µ FADING CHANNEL USING GENERALIZED GAUSSIAN- FINITE-MIXTURE TECHNIQUE

PERFORMANCE ANALYSIS OF BFSK MULTI-HOP COMMUNICATION SYSTEMS OVER Κ-µ FADING CHANNEL USING GENERALIZED GAUSSIAN- FINITE-MIXTURE TECHNIQUE PERFORMANCE ANALYSIS OF BFSK MULTI-HOP COMMUNICATION SYSTEMS OVER Κ-µ FADING CHANNEL USING GENERALIZED GAUSSIAN- FINITE-MIXTURE TECHNIQUE Murad A.AAlmekhlafi 1, Shafea M. Al-yousofi 1, Mohammed M. Alkhawlani

More information

Design and Simulation of 32 bit Floating Point FFT Processor Using VHDL

Design and Simulation of 32 bit Floating Point FFT Processor Using VHDL Design and Simulation of 32 bit Floating Point FFT Processor Using VHDL Mr.Roshan Pahune 1, Dr.Mrs.AnaghaRathkanthiwar 2 1 M.Tech Student [VLSI],Dept. of Electronics Engg, PCE, Nagpur, India 2 Associate

More information

FIR Filter Architecture for Fixed and Reconfigurable Applications

FIR Filter Architecture for Fixed and Reconfigurable Applications FIR Filter Architecture for Fixed and Reconfigurable Applications Nagajyothi 1,P.Sayannna 2 1 M.Tech student, Dept. of ECE, Sudheer reddy college of Engineering & technology (w), Telangana, India 2 Assosciate

More information

DESIGN OF IEEE TAP CONTROLLER IP CORE

DESIGN OF IEEE TAP CONTROLLER IP CORE DESIGN OF IEEE 1149.1 TAP CONTROLLER IP CORE Shelja A S 1, Nandakumar R 2 and Muruganantham C 3 1 Department of Electronics and Communication Engineering, NCERC. sheljaas@gmail.com 2 Assistant scientist/engineer,

More information